laitimes

Intel unveils a number of cutting-edge technologies that push Moore's Law beyond 20255

During the recent 2021 IEEE International Electronic Devices Conference (IEDM), Intel officially unveiled a number of new technologies that can purportedly help Intel chips continue to reduce size and improve performance over the next decade, some of which are ready to stack different chips.

Intel unveils a number of cutting-edge technologies that push Moore's Law beyond 20255

Robert Chau, Senior Fellow and General Manager of Component Research at Intel, said: "At Intel, research and innovation to continue to advance Moore's Law has never stopped. Intel's component research team shared key research breakthroughs at IEDM 2021 that will lead to revolutionary process processes and packaging technologies to meet the industry and society's limitless demand for powerful computing. This is the result of the tireless efforts of our best scientists and engineers, who will continue to be at the forefront of technological innovation and continue Moore's Law. ”

Intel unveils a number of cutting-edge technologies that push Moore's Law beyond 20255

Intel's component research team is committed to innovating in three key areas: basic scaling technology to provide more transistors; new silicon capabilities for power and memory gain; and exploring new concepts in physics to revolutionize the way the world is computed. Many of the innovations that break through previous Moore's Law barriers and appear in today's products begin with work on components — including strain silicon, Hi-K metal gates, FinFET transistors, RibbonFETs, and packaging innovations including EMIB and Coveros Direct.

Intel unveils a number of cutting-edge technologies that push Moore's Law beyond 20255

Beyond its full-gate RibbunonFETs, Intel is mastering the coming post-FinFET era with a stacked multi-transistor (CMOS) approach that aims to achieve logic scaling improvements of up to 30 to 50 percent to drive the evolution of Moore's Law by installing more transistors per square millimeter.

Intel also paved the way for Moore's Law to enter the Era of Egypt through prospective research that shows how new materials with only a few atoms thick can be used to make transistors that overcome the limitations of traditional silicon channels, allowing millions of transistors per chip area to be added for more powerful computations over the next decade.

Intel unveils a number of cutting-edge technologies that push Moore's Law beyond 20255

At IEDM 2021, Intel also demonstrated the world's first experimental implementation of a magnetoelectric spin orbital (MESO) logic device at room temperature, demonstrating the potential manufacturability of a new transistor based on a switched nanoscale magnet.

Intel and IMEC are making progress in spin electronic materials research to bring device integration studies closer to achieving full-featured spin-torque devices.

Intel also demonstrated a complete 300mm qubit process flow for enabling scalable quantum computing compatible with CMOS manufacturing and identified the next step in future research.

Read on