laitimes

The M1 Ultra is available in a InFO_LI package! Rather than the higher cost CoWoS-S

In March, I wrote about the packaging technology used in Apple's Mac Studio using Apple Silicon chips, in which Apple may use TSMC's most advanced CoWoS-S (2.5D intermediation layer packaging process for silicon intermediation layers) to achieve high-speed interconnection communication between the two M1 Max cores.

Recently, Apple officially introduced the M1 Ultra chip used on the Mac Studio in detail, showing how Apple can achieve 2.5 TB/s interconnection bandwidth between UltraFusion chips and let the two M1 Max SoCs coordinate communication and work under the blessing of the new custom chip.

The M1 Ultra is available in a InFO_LI package! Rather than the higher cost CoWoS-S

According to the official information, Apple's M1 Ultra does not seem to have chosen the CoWoS-S package as most media speculated earlier, but instead adopted a lower-cost fan-out (InFO) and local silicon interconnect (LSI) solution. WCCFTech said that although there are many bridge-based methods on the market to achieve interoperability of two M1 Max, TSMC's InFO_LI packaging process can significantly reduce chip manufacturing costs.

The M1 Ultra is available in a InFO_LI package! Rather than the higher cost CoWoS-S

Tom's Hardware reports that from a slide re-shared by semiconductor packaging engineering professional Tom Wassick, Apple chose InFO_LI packaging solution on the M1 Ultra. Even though the CoWoS-S package solution has been validated, it is also adopted by many partners, including Apple. But the CoWoS-S package process is more expensive than InFO_LI, and it is also an early rise to think that Apple chose the CoWoS-S package M1 Ultra.

The M1 Ultra is available in a InFO_LI package! Rather than the higher cost CoWoS-S

From the perspective of use, M1 Ultra communicates two M1 Max chips through UltraFusion, combining components such as unified memory and GPU into a silicon chip. But 800GB/s of bandwidth doesn't require the more expensive CoWoS-S, and unless the M1 Ultra needs more chips and ultra-high-bandwidth storage injected into HBM, InFO_LI is a wiser choice.

Now that CoWoS-S has been certified, it proves that Apple has other aspects of preparation. The Mac Pro is still using Intel Xeon products, but it's no secret that Apple launched a Mac Pro workstation with Apple Silicon.

The M1 Ultra is available in a InFO_LI package! Rather than the higher cost CoWoS-S

According to Bloomberg Mark Gurman, the Mac Pro is still actively preparing, and the new product will use the M1 Ultra's "successor" chip, which may be the product that is rumored to package 4 M1 Max together. While Gurman didn't predict that the Mac Pro would use the UltraFusion SoC, it had earlier said the workstation would be equipped with a custom chip with up to 40-core CPU and 128-core GPU.

The M1 Ultra is available in a InFO_LI package! Rather than the higher cost CoWoS-S

The report said that the product is codenamed J180 and uses the previously implied TSMC 4nm manufacturing process. While Gurman did not say for sure whether the M1 Ultra "successor" would continue to use TSMC's InFO_LI packaging process, it is unlikely that Apple will switch to a CoWoS-S package. If Apple really packages the two M1 Ultra chips together through the UltraFusion process, it seems to prove that Apple is also actively "matryoshka".

Editor's comments: Whether Apple chooses to use CoWoS-S, or InFO_LI packaging solutions, it does not prevent Apple Silicon from improving performance, including 800GB/s bandwidth has far exceeded the x86 product standard. And choosing to use the more expensive CoWoS-S air transfer process can not bring enough performance expectations, so why not choose a InFO_LI packaging technology with the right price and good performance.

Read on