laitimes

For 3nm chips, TSMC has made new progress, and its performance has increased by 11% compared with 5nm technology.

For 3nm chips, TSMC has made new progress, and its performance has increased by 11% compared with 5nm technology.

Text/Youyu Review/Ziyang Proofreader/Zhiqiu

Since Intel co-founder Gordon Moore proposed "Moore's Law", the development of the computer industry has followed this law.

It is understood that Moore's law means that the number of transistors that can be accommodated on integrated circuits will double about every 18-24 months, and the performance of semiconductor industry products will also double.

But with the continuous development of chip technology, Moore's Law is gradually approaching the limit. At present, the volume of transistors has reached the nanometer level, and it is very difficult to continue to shrink.

However, TSMC has proved with technology that "Moore's Law" is not dead and is still moving forward. Not only that, TSMC's 3nm process has also made new progress in recent days.

For 3nm chips, TSMC has made new progress, and its performance has increased by 11% compared with 5nm technology.

On December 25, Xin Zhixun reported that Luo Zhenqiu, general manager of TSMC (Nanjing), mentioned in his keynote speech that its 3nm process will be launched as scheduled in 2022. Not only that, TSMC's research and development on the 2nm process is also progressing smoothly.

For a process, the most critical metrics are the number of transistors per unit area, performance, and power consumption.

According to the roadmap officially presented by TSMC, compared with the 5nm process, the transistor logic density of the TSMC 3nm process can be increased by 1.7 times, and the performance is improved by 11%. Under the same performance, the power consumption of the 3nm process is reduced by 25%-30% compared with 5nm.

As far as the data disclosed by TSMC is concerned, the chips of the 3nm process will have a stronger comprehensive strength than the 5nm chips.

For 3nm chips, TSMC has made new progress, and its performance has increased by 11% compared with 5nm technology.

It should be noted that TSMC, as the world's largest wafer foundry, has not only achieved technological leadership, but also its yield rate and production capacity have always been in a leading position in the industry.

Therefore, although the current TSMC 3nm process has not yet achieved large-scale mass production, some customers have pre-booked the production capacity of this advanced process in advance.

As we all know, Apple has always been the number one customer of TSMC and enjoys "VIP treatment" at TSMC, so it is almost a foregone conclusion that Apple will give priority to the production capacity of advanced process processes.

However, from the media reports, it can be seen that although Apple will give priority to the production capacity of TSMC's 3nm process, it is not exclusive.

For 3nm chips, TSMC has made new progress, and its performance has increased by 11% compared with 5nm technology.

TechWeb reported on December 25 that foreign media said that in the 3nm process, Intel will also enjoy preferential treatment, and may share the first wave of TSMC's 3nm production capacity with Apple.

Although the handwave production capacity of the 3nm process scored by Intel and Apple is likely to affect the chip output of Apple's generation, for TSMC, maintaining orders from two manufacturers on the 3nm chip can reduce the cost of tape-outs.

In addition, the TSMC 3nm process frequently sends good news, which also means that Samsung's hope of overtaking TSMC is becoming more and more dim. As we all know, in the chip industry, Samsung has always been TSMC's biggest competitor, and both sides are chasing each other in the 7nm and 5nm processes.

For 3nm chips, TSMC has made new progress, and its performance has increased by 11% compared with 5nm technology.

Nowadays, Samsung hopes to grasp the right to speak in the field of advanced processes through the 3nm process, so Samsung has also aggressively adopted GAA transistor technology.

Theoretically, the GAA surround gate transistor used by Samsung does have more advantages than the FinFET transistor technology used by TSMC. But since the beginning of this year, the "bad news" of Samsung 3nm has been frequently reported on the Network.

"Electronic Times" has reported that GAA FET technology is not mature, Samsung is not only unable to ensure its yield rate, but also faces many technical problems, such as leakage, performance improvement is not up to standard, process layout is unreasonable. In contrast, TSMC is significantly more comfortable with 3nm technology.

For 3nm chips, TSMC has made new progress, and its performance has increased by 11% compared with 5nm technology.

Moreover, combined with the previous cases, the actual process mass production time of TSMC's advanced process is earlier than the planned time.

In contrast, Samsung, Flush Finance pointed out in a report that there is news that the mass production time of Samsung's 3nm process chip is not earlier than TSMC, or even later than TSMC.

Although foreign media DIGIMIES has broken the news that Samsung or won the two large customers of AMD and Qualcomm, becoming the first batch of customers of its 3nm process, but as far as the current situation is concerned, Samsung wants to overtake TSMC, and still has little hope.

Read on