laitimes

Samsung released the GAA process, and the mass production of 3nm chips is just around the corner

In the past two years, Qualcomm's flagship chip, which has been manufactured by Samsung, has not performed well, allowing users to point the finger at the FinFET transistor process, and now, Samsung has announced the first GAA transistor process, mainly for the upcoming 3nm chips.

Samsung released the GAA process, and the mass production of 3nm chips is just around the corner

Samsung advocated a radical way of playing this time, taking the lead in using the GAA transistor process to replace the existing FinFET transistor process, mainly because of the backward performance on 7nm, 5nm and 4nm, there was news earlier that some employees were suspected of forging and falsely reporting the yield rate of the 5nm, 4nm, 3nm process, so that Qualcomm will be replaced by TSMC foundry in advance on the 8 Gen 1 Plus, it is not difficult to see Samsung's embarrassment.

Samsung released the GAA process, and the mass production of 3nm chips is just around the corner

GAA is a new type of surround gate transistor, the use of GAA structure can achieve better gate control and leakage control, and because the production technology is basically the same as FinFET, there will be advantages in cost control, which is why Samsung is eager to develop GAA and almost abandoned the FinFET transistor process optimization.

Samsung released the GAA process, and the mass production of 3nm chips is just around the corner

According to reports, Samsung is ready to start construction of a 3nm fab at its P3 plant in Pyeongtaek, South Korea, and is expected to start construction in June and July this year, and introduce equipment at the same time. According to this progress, as soon as next year we can see the mass production of new chips using the 3nm process, which will also be a new round of head-to-head confrontation between Samsung and TSMC, who are you optimistic about?

Read on