laitimes

Mass production in 2025: Intel's 18A process finds three major customers

Core Research Institute January 28 news, in March last year, Intel's newly appointed CEO Kissinger announced the IDM 2.0 strategy, which includes a large investment in new fabs, and rapid upgrades to CPU processes, namely Intel 7, Intel 4, Intel 3 and Intel 20A, Intel 18A, of which the first three generations of processes are still based on FinFET transistors, starting from Intel 4 to fully embrace the EUV lithography process.

20A, 18A process in the A represents Amy, is the first process into the Amy era, almost equivalent to other manufacturers of 2nm and 1.8nm process, and 20A began to abandon The FinFET transistor, with two revolutionary technologies, RibbonFET is similar to Samsung's GAA surround gate transistor, PoerVia is the first to cancel the power supply trace on the front side of the wafer, switch to the post-power supply, and can also optimize signal transmission. The 20A process will be mass-produced in 2024, and the improved 18A process will be mass-produced in 2025, and the next generation of EUV lithography machine will be launched this time, and the NA numerical aperture will be increased from the current 0.33 to more than 0.55.

Mass production in 2025: Intel's 18A process finds three major customers

Edited by the Institute of Core Research

Intel's advanced technology in the future is not only their own use, but also to provide OEM services to the outside world, to compete with TSMC to seize the market. In today's earnings meeting, Intel CEO Henry Kissinger mentioned that the 18A process already has three customers, and it is in the RAMP-C defense plan led by the US military, and the specific list is now confidential.

It is expected that when Intel mass-produces the 18A process in 2025, TSMC will also enter the 2nm node, which is also an important upgrade of the TSMC process, and TSMC will launch the Nanosheet/Nanowire transistor architecture at the 2nm node and adopt new materials.

(7860753)

Read on