laitimes

TSMC, sounded the alarm?

TSMC has released their first-quarter earnings, and as the heart of the semiconductor industry, keeping an eye on them means you're on your pulse. While many other companies can provide signals and instructions, no other company is at the center of it all like TSMC.

Today, we unravel TSMC's electrically charged quarterly earnings and introduce our readers to everything that's happening here. This includes talking about the data center, mobile, and automotive semiconductor markets. Among them, the automotive sector also shows signs of weakness now, but this is the last strong market. On the optimistic side, TSMC talked two days ago about receiving a huge order for an artificial intelligence chip.

TSMC also talked about the elimination of fabs and the fact that expansion is no longer financially viable, and we will also share some data on TSMC utilization and the decline in TSMC's pricing. We delved into TSMC's 3nm and 5nm ramp movements as TSMC made some pretty bold assumptions in the second half of the year.

TSMC, sounded the alarm?

Revenue trends

TSMC's main data for the quarter was that revenue fell to $16.72 billion in the first quarter of 2023, down 4.8% year-on-year. In addition, TSMC's second-quarter guidance hinted that things got worse, falling to $15.6 billion at the midpoint, down 14.6% year-on-year. That being said, TSMC shared their guidance throughout the year, which brings us back to the following estimates. We'll share more about the performance of 5nm and 3nm later.

TSMC, sounded the alarm?

High-performance computing continued to grow from 42% to 44% of company revenue. While data centers are strong, especially AMD Genoa, Nvidia H100, and Amazon Graviton 3 ramps, it should be noted that even low-power laptop chips, such as Apple's M1, fall into the high-performance computing space.

Despite growth as a percentage of revenue in absolute dollar terms, the segment shrank by $1.0 billion, down 27% sequentially. Smartphones looked the worst, with sales down $1.8 billion in the quarter and decline for the full year. Despite the weak quarter, IoT continued to be strong, delivering year-over-year growth.

Cars are the most prominent. Year-on-year increase of about 50%! That sounds good to most automotive chip vendors like NXP, Onsemi, Infineon, and ST Micro, but it's not all rosy.

"While TSMC's automotive demand remained stable, it showed signs of weakness in the second half of 2023." TSMC CEO Wei Zhejia said.

Capacity utilization has plummeted

Capacity utilization is one of the most important figures in the semiconductor business. Given how capital-intensive the business is, idle time is the time spent igniting money. TSMC's significant decline in revenue and gross margin was primarily due to low utilization during the quarter. TSMC has enjoyed 100% utilization since the start of the Covid boom. Now the picture is not so beautiful.

The fourth quarter of 2022 saw initial signs of weakness and reduced shipments, but they are now in full swing. In terms of utilization, TSMC's N7 (7nm) class nodes are the most affected. Last quarter we noted that their utilization rate in Q4 2022 was around 83%.

Now, SemiAnalysis's data shows that in Q1 2023, TSMC's 7nm utilization rate is less than 70%! In addition, Q2 is even worse, and the 7nm utilization will fall further below 60%! This is mainly due to weakness in smartphones and PCs, but there is broader weakness in most segments.

7nm is not the only node affected. N16 utilization was also below 90% in the first quarter, and is expected to reach around 75% utilization in the second quarter. Even N5 is affected, with a utilization rate of about 88%. Although TSMC N5 is the best process technology node, even 3 years ahead of Samsung and Intel after the first shipment, it is not immune to the cyclical nature of the semiconductor business. TSMC's old nodes remain strong, albeit with some signs of abating, and they are starting to release capacity in the second quarter.

TSMC pricing fell

TSMC cut prices for the first time in years. Although wafer shipments declined from nearly 4 million wafers per quarter to 3.7 million units in the fourth quarter of 2022, pricing continued to rise. In the first quarter of 2023, TSMC shipments continued to decline to 3.2 million units.

TSMC, sounded the alarm?

This price drop is mainly due to the low utilization of TSMC's N7 process node series. TSMC will not lower pricing for customers in 2023. This is what we have come to after confirmation from a number of major fabless companies.

3nm and 2nm updates

TSMC reiterated statements made in previous quarters around its future nodes, N3 and N2. N3 demand, which exceeds supply, will account for the mid-single-digit percentage of full-year 2023 revenue, with a significant contribution from the third quarter. As with the introduction of new nodes, N3 will dilute gross margins in the initial phase of volume climbing.

This is exacerbated by the slower growth rate and smaller wafer production of N3 compared to N5's shipments in their respective first year. Apple's recent change is that only the latest chips are included on Pro models, and the ASP per N3 wafer is much higher than that of N5, suggesting that N3's monthly wafer (WPM) growth will lag behind N5.

TSMC, sounded the alarm?

Next, the N3E variant, N3E, will begin mass production in the second half of 2023. This is the main 3nm variant adopted by most customers. TSMC's participation in 3nm is high, and in the first two years of launch, the chip design tape-out volume was more than twice that of 5nm.

An important factor contributing to this is the shrinking gap between smartphones and HPC product ramps. Historically, smartphones were the first to introduce new nodes because the small chip size of mobile phone chips helped improve yield. Now, with the advent of chiplets and the never-ending demand for energy efficiency in data centers, many HPC customers are demanding to get their products to 3nm as quickly as possible.

N2 is their first attempt at nanosheets and is still expected to enter mass production in 2025 and bring higher revenue contributions from 2026. Compared to N3, N2 will provide full node performance and power advantages. Without commenting on competitors, TSMC expects its N3 nodes to be the most advanced in the industry, and they are confident that N2 will continue to expand its technological leadership in the future.

"Our 2nm technology will be the industry's most advanced semiconductor technology at launch, both in terms of density and energy efficiency, and will further expand our technology leadership in the future." TSMC CEO Wei Zhejia said.

Cancellation of 28nm

TSMC is wary of oversupply at mature nodes and is therefore focusing on expanding production capacity for specialized technologies such as RF and imaging. Their Kaohsiung fab was supposed to expand its 28nm capacity, but this is no longer economically viable. The focus of expansion has now shifted to the lead.

"So we built one in Japan, and we also expanded our 28nm capacity in Nanjing, which is the second, and then we're looking at Europe, which could be the third for automotive applications." Putting these three together, we don't think it's financially feasible for Kaohsiung to build 28nm today, so we're adjusting to a more advanced node that we're still quite the other thing about. TSMC CEO Wei Zhejia said.

Overseas fabs

TSMC has updated the latest progress in its overseas fab construction, currently led by the Office of Overseas Operations (OOO), whose mission is to ensure that each fab's culture is consistent across countries and provide support to ensure fab performance matches Taiwan's.

The U.S. fab in Arizona faces some licensing issues, but is still expected to start producing chips using the N4 process from the end of 2024. While the cost is certainly higher than Taiwan, TSMC believes that geography can provide value to customers and will sell based on that value. This means that customers are willing to pay more per wafer if they come from a US fab. As a result, margins are expected to be on par with the company's average.

TSMC's specialized 28nm fab in Japan is also expected to begin mass production by the end of 2024. They are also expanding their 28nm fab in Nanjing, China, to support customers there. Finally, TSMC is evaluating the feasibility of building a 28nm fab for automotive customers in Europe, awaiting customer feedback and government support. TSMC also noted that they have hired more than 900 U.S. employees for the Arizona fab and more than 370 in Japan, but that's dwarfed by the more than 6,000 that Taiwan hired in 2023 alone.

Capital expenditure tracking

One of the most important figures is TSMC's stated capital expenditure for 2023. They reiterated their previous shared figure to be between $32 billion and $36 billion, down from $36.4 billion in 2022. This is particularly interesting because Taiwanese media previously reported that TSMC would cut 40% of EUV lithography machine orders. The same media outlet also said that N5 utilization returned to full capacity in the second quarter, but this is clearly not true either.

Given the spending profile of its capital expenditures, TSMC's reiteration of capital expenditures is perfectly justified. They spent $9.95 billion in the first quarter, nearly 30% of their full-year budget. We believe the second quarter will also be large, around $9 billion, although not at $10 billion as in the first quarter. This means that TSMC's capital expenditure in the first half of the year was about $38 billion.

Of course, TSMC will not invest much in 2024. In addition, TSMC does not have many orders in 2024. They have been cautiously optimistic in this regard. Looking at the performance of ASML, the lithography champion and the second largest tool manufacturer after Applied Materials, we can also determine this.

ASML had only €37.5 billion in new orders in the first quarter (€1.6 billion for O/w EUV), despite revenues of €6.75 billion. This huge weakness in orders will not affect 2023, but 2024. Overall, ASML and semiconductor capex will perform strongly in 2023, thanks to a high volume of orders last year, coupled with China's rush to ship a large number of tools.

That tangent aside, signs of weak orders lead us to believe that TSMC's capital expenditures will fall. TSMC's H2 CapEx run rate is close to $30 billion. This has had a very negative impact on companies such as ASML, Applied Materials, Lam Research, Screen, ASMI, KLA, Onto and Nova Measurements. While current strength and hope continue to grow this year, alarm bells are sounding later this year and early next as capital spending slows.

All of this capacity is added to a shrinking market. If the semiconductor market does not make a comeback, the capacity cycle will be very bumpy.

TSMC's capital intensity, the ratio of fab spending to revenue, is currently around 46% in 2023. They comment that their long-term capital intensity is around 30%. This means that their capex next year is also in the $29 billion range, similar to their capex run rate for the second half of 2023. This could get bad for semiconductor capital expenditures.

They will all keep FCF positive, unlike memory players at the moment, but hopes that the memory rally will push semiconductor capital spending to new highs need to be offset by a slide in the lead and further bans in China.

Advanced packaging

TSMC directed advanced packaging revenue to decline due to customer demand, from 7% of total revenue in 2022 to 6% to 7% in 2023. In our opinion, this happened last quarter and the reason was because mobile fan-out was included in their premium package. The weakening of Apple, especially MediaTek, is to blame.

Nevertheless, long-term growth is expected to be slightly higher than the corporate average. In terms of capacity expansion, TSMC CEO Wei Zhejia said: "Just in the past two days, I received a call from a customer asking for a significant increase in back-end capacity, especially in CoWoS. We are still evaluating this. ”

Nvidia is CoWoS's largest customer for A100 and H100-class AI GPUs in data centers.

With Broadcom, Google became TSMC's second largest customer with TPUv4 and TPUv5. AMD is also using CoWoS in some products, but they will be relatively small in 2023. Finally, Amazon's Al chip, Trainium, and Microsoft's new AI chip, also use CoWoS.

The need for memory performance for AI training is driving designs to use high-bandwidth memory (HBM), which must be connected using advanced packaging technologies such as CoWoS. Any of these companies, and more likely, are all of them are significantly increasing spending and requiring more CoWoS capacity. To be clear, Amazon's Tranium, despite getting a lot of orders through Alchip, isn't that good, and Microsoft's first-generation AI chips can't replace Nvidia.

TSMC's full-year guidance means H2 is very strong. They argue that full-year revenue has only fallen to the mid-single-digit range; If we conservatively estimate a decline of 3.9%, full-year revenue would be $72.92 billion. Looking at it year-round, this doesn't sound too crazy.

Read on