laitimes

China's first lithography machine delivered, 2022, can domestic chips be worse?

China's first lithography machine delivered, 2022, can domestic chips be worse?

Image source @ Visual China

Text | Detective Baker Street, author | West of Luzhen

"Even if you give the drawings, you can't build a lithography machine."

"Even if the national effort is used to develop semiconductor manufacturing, it is difficult to succeed."

Zhang Zhongmou, the head of Asma and the founder of TSMC, has publicly stated more than once in the past two or three years Chinese mainland it is impossible to successfully manufacture lithography machines on his own.

In fact, in a strict sense, although ASML in the Netherlands is the only company in the world that can produce lithography machines, it is only an assembly company, a large number of core technologies come from the United States, and there are also Chinese companies in the Asmail supply chain, such as Fujing Technology, Huate Gases and so on.

In fact, in terms of finished lithography machine, Shanghai microelectronics since its establishment in 2002, has long been firmly rooted in the low-end lithography machine market, 90nm and below process products have achieved stable shipments, according to public data, Shanghai microelectronics in 2018 shipments of about 50-60 units, accounting for about 80% of the mainland market. Therefore, this thesis of the above two people should add a precondition, that is, the "advanced process" lithography machine.

We often say that the advanced chip, refers to the production process of less than 28 nanometers, that is, 28 \ 14 \ 7 \ 5 \ 3 nm process of chips, the Shanghai Microelectronics held the first 2.5D / 3D advanced packaging lithography machine shipping ceremony, although marking China's first 2.5D / 3D advanced packaging lithography machine officially delivered to customers, but for our current chip manufacturing by the card neck, it is only a candlelight in the night.

The story of "1" and "0"

Although the "lithography machine" has been frequently reported in recent years, few people have mentioned that the lithography machine is mainly divided into three categories such as "front road, rear road and panel", and the neck that is stuck is the front road lithography machine, and the Shanghai Microelectronics released this time is a sealed lithography machine.

If chip manufacturing is compared to food production, the front road is the "food" itself, the back road is the packaging bag, the panel manufacturing belongs to the usual can not remember, but the lack of it will directly hit the consumer's taste buds of the "condiment", for digital life seasoning, because the panel for C-end users, is its most "within reach".

Because there is no driver chip, whether it is a front or back chip, it is difficult for C-end users to have an intuitive experience of chip performance. But no matter how important packaging and condiments are to food, if there is no "food" itself, its value is "0".

Therefore, if you want to fully reflect the value of the back road and panel chips, you must first have a front channel chip with excellent performance. In the manufacture of the previous lithography machine, in fact, the lithography machine is not classified according to the number of "NM", but is divided into "g-line lithography machine" using a 436nm light source at wavelength; "i-line lithography machine" with a 365nm light source; "KrF lithography machine" with a 248nm light source; "DUV lithography machine" with a 193nm deep ultraviolet light source; and "EUV lithography machine" using a 13.5nm polar ultraviolet light source, which is also the main product of the neck of the card.

At present, Shanghai microelectronics front product process, has touched the ArF process, the corresponding photoresist, the country currently has Shanghai Xinyang, Tongcheng new material, Nanda optoelectronics, Jingrui shares and other companies for research and development, production, of which Nanda Optoelectronics arF photoresist, has successfully passed customer verification at the end of 2020, is the first domestic photoresist that has passed product verification.

China's first lithography machine delivered, 2022, can domestic chips be worse?

ArF photoresist is an indispensable one for the production of the front road. Although Nanda Optoelectronics ArF photoresist has passed customer verification, it borrows a sentence from Yang Xiaosong, the world's top 3 chip manufacturer, the leader of domestic chip manufacturing, and the head of SMIC photoresist: At present, no domestic photoresist company dares to see him.

SMIC currently takes 28nm and 14nm process chips as the main revenue pillar, and the low-end chips with processes larger than 28nm are only a small part of SMIC's revenue. In other words, domestic photoresist is not enough to cope with the 28nm process and more advanced chips, and even does not meet SMIC's manufacturing requirements for low-end chips.

This statement or has indirectly shown that the current difficulties faced by the domestic chip precursor production are not only that there is no corresponding lithography machine, but also the lack of photoresist that can be replaced by domestic production. However, the good news is that Taijing Technology has made new breakthroughs in the recent days of automatic wafer FM and testing and sorting machines.

The equipment is mainly used for the automatic adjustment of the frequency of lithography wafers, the successful development of this equipment, not only fills the gap in the industry, but also ensures the confidentiality of key technologies, and gradually lays the foundation for the domestic substitution of lithography machines.

Although in the manufacturing of the chip front road, the DUV\EUV lithography machine can be described as a step by step, but the etching machine equipment, which is also in an important position in the front road process equipment, has created a "blood road" for the development of the lithography machine.

The etching machine became a single first in the blockade

In the front-channel wafer fabrication, the special equipment used mainly includes oxidation/diffusion equipment, lithography equipment, etching equipment, cleaning equipment, ion implantation equipment, thin film deposition equipment, and chemical mechanical polishing equipment. Among them, etching and lithography, thin film deposition, and called semiconductor manufacturing three core processes.

"Lithography" refers to the pre-made lithography plate on a wafer coated with photoresist (or silicon wafer), and then the wafer is illuminated by ultraviolet light through the lithography plate for a certain period of time. The principle is to use ultraviolet light to make part of the photoresist deteriorate, which is easy to corrode.

"Etching" is that after lithography, the deteriorated part of the photoresist is corroded (positive glue) with corrosive liquid, and the surface of the wafer shows the pattern of the semiconductor device and its connection. Another corrosive fluid is then used to corrode the wafer, forming a semiconductor device and its circuitry.

By 2015, Zhongwei Company continued to improve the product process, etching machine process, has been in sync with the world's advanced level, and with a good marketing strategy, forced the United States to cancel the etching machine sales restrictions, because at that time to the United States technology-led etching machine products, market share has been significantly swallowed.

Three years later, in 2018, according to IHS Market statistics, Zhongwei Company broke the monopoly position of Vieco and Aistron in one fell swoop, accounting for 41% of the global market share of new gallium nitride-based LED-MOCVD, and the global proportion in the second half of the year was more than 60%, and the single product occupied the world's first.

Time to 2021, the etching mechanism of The micro company increased to 5nm, and successfully developed the 3nm etching machine, on January 22, 2022, the company disclosed the 2021 performance forecast, the revenue is expected to increase by 36.73% year-on-year, reaching 3.108 billion yuan, and the newly signed orders are 4.13 billion yuan, an increase of 90.5% year-on-year, an increase of about 1.96 billion yuan. Reflected in profits, the net profit attributable to the parent of The Micro and Medium Enterprise Company was about 950 million yuan to 1.03 billion yuan, an increase of about 93.01% to 109.26% year-on-year.

In addition to Zhongwei Company, North Huachuang is also a major etching machine manufacturer in China. However, according to the statistics of AsiaChem Consulting, as of December 16, 2020, Yangtze River Storage has bid for 348 sets of etching equipment, of which the American manufacturer Lam Research has won the bid for 187 units, accounting for 54% of the total procurement quantity.

Domestic manufacturers Zhongwei Company, North Huachuang, Yitang shares won the bid for 50 units, 18 units, 13 units, the localization rate reached 23.85%; Huahong Sixth Factory accumulative tender for 81 sets of etching equipment, of which LamResearch won the bid for 45 units, accounting for 56% of the total number of purchases, Zhongwei Company won the bid for 15 units, for Huahong Sixth Factory etching equipment supplier, accounting for 19% of the total number of purchases.

From the overall point of view, the domestic substitution rate of etching machines still has huge room for improvement, and the road to domestic substitution of chip equipment is still a long way to go.

China's first lithography machine delivered, 2022, can domestic chips be worse?
China's first lithography machine delivered, 2022, can domestic chips be worse?

For chip manufacturers, equipment replacement has a specific periodicity, in addition to the common equipment wear and tear leading to equipment replacement, there is also an important reason is the technical iteration. And with the continuous upgrading of the chip process, the importance of etching equipment is gradually increasing.

More critically, taking Zhongwei Company as an example, the company's etching machine can already be applied to the third generation of semiconductors, the third generation of semiconductor head manufacturer Sanan Optoelectronics is its customer, and the MOCVD equipment of Zhongwei Company can process the third generation of semiconductor material Gallium nitride.

According to SEMI data, the number of etching times required for 5nm process chips is as high as 160 times, which is 150% higher than that of 14nm, which directly increases the capital expenditure of the etching process, and the value of etching equipment in the fab production line is increasing.

China's first lithography machine delivered, 2022, can domestic chips be worse?

According to Gartner data, from 2015 to 2017, the compound growth rate of the plasma etching equipment market size was 17%, exceeding the growth rate of other front-end equipment markets. At present, memory devices are changing from 2D to 3D structure, logic devices to 3nm and other technology nodes, these process changes make plasma etching equipment become a more critical equipment, and its market growth rate exceeds that of lithography machines and other front-end equipment.

The increase in the number of 3DNAND layers requires etching techniques to achieve higher aspect ratios. The linewidth of integrated circuit 2D memory devices has approached the physical limit, and NAND flash memory has entered the 3D era. In the 3D NAND manufacturing process, the main way to increase the degree of integration is no longer to reduce the width of the single layer line but to increase the number of layers stacked.

Etching is to process very deep holes or extremely deep grooves from 40:1 to 60:1 on the laminated structure of a pair of silicon oxide and silicon nitride. According to Tokyo Electronics, the expenditure on etching equipment in 3DNAND accounts for 50%, which is much higher than the previous process NAND of 15%.

China's first lithography machine delivered, 2022, can domestic chips be worse?

Problems are inherently solved

Through combing, it is not difficult for us to find that the difficulties currently encountered by domestic lithography machines have been encountered by domestic etching machines, and domestic etching machines have started even two years later than lithography machines, which can make breakthroughs in the short term and can achieve technological leadership.

It is undeniable that there are objective difficulties in the manufacture of lithography machines, but now that a breakthrough from "0" to "1" has been achieved, the problem to be solved at present is to add several "0" problems after "1", since there are problems, corresponding to the solution to the problem, but the need for talent, capital, macro policy support.

Nowadays, in the field of lithography machines, the above three conditions have been fully met, and the rest can only wait for time to give us the answer. After all, the Chinese Academy of Sciences also established the Semiconductor Research Institute in 1960, and the Semiconductor Research Group of the Department of Physics of Peking University, even four or five years ahead of South Korea/Taiwan, successfully developed three types of (silicon gate NMOS, silicon gate PMOS, aluminum gate NMOS) 1KDRAM dynamic RAM.

Can today's domestic semiconductors be "worse"?

Read on