laitimes

Shengmei Shanghai launched a new series of compound semiconductor equipment to strengthen the wet process product line

IT Home Reported on January 31 that Shengmei Semiconductor Equipment (Shanghai) Co., Ltd. (Shengmei Shanghai), a supplier of wafer process solutions for semiconductor lead-channel and advanced wafer level packaging (WLP) applications, has launched a comprehensive line of equipment to support compound semiconductor manufacturing.

Simco Semiconductor Equipment' 150-200 mm compatible systems expand the Front Channel Integrated Circuit Wet Series and the Rear Channel Advanced Wafer Level Package Wet Range to support applications in compound semiconductors, including processes such as gallium arsenide (GaAs), gallium nitride (GaN), and silicon carbide (SiC). The compound semiconductor wet process product line includes gluing equipment, developing equipment, light resistance deguluation equipment, wet etching equipment, cleaning equipment and metal plating equipment, and is automatically compatible with flat edge or notched wafers.

Shengmei Shanghai launched a new series of compound semiconductor equipment to strengthen the wet process product line

ShengMei Shanghai's compound semiconductor equipment series

Ultra C Silicon Carbide Cleaning Equipment

Sime Shanghai's Ultra C Silicon Carbide Cleaning Facility uses hydrogen peroxide sulfate mixture (SPM) for surface oxidation and hydrofluoric acid (HF) to remove residues and clean silicon carbide wafers. The device also integrates Sime Shanghai's SAPS and Megasonix technologies for more comprehensive and deeper cleaning. Ultra C siC cleaning equipment provides industry-leading cleanliness at 10ea0.3um per wafer particle ≤ and metal content < 1E10atoms/cm3 levels. The device can clean more than 70 wafers per hour and will be available in the second half of 2022.

Ultra C wet etching equipment

Provides <2% uniformity for gallium arsenide and gallium phosphide (InGaP) processes, < 10% coplanarity and < 3% repeatability. Ultra C wet etching equipment provides industry-leading chemical temperature control and etch uniformity. The device will be delivered to and tested by a key customer in the third quarter of 2022.

Ultra ECP GIII 1309 device

Simco Shanghai's Ultra ECP GIII 1309 device integrates pre-wetting and post-cleaning chambers to support copper columns and solders for copper, nickel and tin silver, as well as heavy distribution layer (RDL) and under-bump metallization (UBM) processes. The device achieves in-wafer and in-mold uniformity of less than 3% and less than 2% repeatability. The device was delivered to the customer in mid-2021 and met the customer's technical requirements.

Ultra ECP GIII 1108 device

The Ultra ECP GIII 1108 device offers a gold bump, film and deep through-hole process with integrated pre-wetting and post-cleaning chambers. The equipment is deep-hole plating using Shengmei Shanghai's proven grid plate technology to improve step coverage. It achieves in-wafer and in-mold < 3% uniformity and < 2% repeatability. The chamber and process trough are specifically designed to avoid oxidation of the gold plating solution, and the process trough has a nitrogen purge function to reduce oxidation. The device was delivered to key customers at the end of last year.

Ultra C ct gluing equipment

Shengmei Shanghai's Ultra C ct gluing equipment uses secondary rotation gluing technology to achieve uniform gluing. The equipment offers industry-leading advantages, including precise glue control, automatic cleaning functions, hot and cold plate modules, and independent process control functions for each chamber.

Ultra C dv developer device

In the compound semiconductor process, Sime Shanghai's Ultra C dv developer equipment performs critical steps in post-exposure baking, developing and hard baking. Utilizing Shengmei Shanghai's advanced technology, the plant can achieve a flow rate of +/-0.03 LPM and temperature control of +/-0.5 degrees Celsius on request.

Ultra C s brushing equipment

Ultra C's brushing equipment is based on Shengmei Shanghai's advanced wet cleaning technology to achieve excellent contaminant removal results. The device achieves high performance through nitrogen atomized difroid cleaning or high-pressure cleaning to clean small particles more efficiently. In addition, the device is compatible with Simco Shanghai's proprietary megasonic cleaning technology to ensure excellent particle removal efficiency (PRE) without damaging the delicate graphic structure.

Ultra C pr wet degumming equipment

Sime Shanghai's Ultra C pr wet degumming equipment utilizes a trough immersion and monolithic process to ensure efficient compound semiconductor degumming. The device was recently ordered by a leading global integrated component manufacturer (IDM) for photoresist removal, further validating The technological superiority of Semmet Shanghai.

Ultra SFP stressless polishing equipment

Ultra SFP provides an environmentally friendly alternative to traditional chemical mechanical polishing in through-silicon (TSV) processes and fan-out wafer-level packaging (FOWLP) applications. In TSV applications, Sime Shanghai's stress-free polishing (SFP) system significantly reduces consumable costs by using proprietary electropolished technology to remove copper overlays as low as 0.2 m, further removing remaining copper to the barrier layer using conventional CMP, and removing the barrier layer by wet etching. For FOWLP, the same process can overcome wafer warpage caused by stress in thick copper layers and is applied to copper overlays in RDL and flattened.

Shengmei Shanghai is engaged in the research and development, production and sales of monolithic wafer and trough wet cleaning equipment, electroplating equipment, stressless polishing equipment and heat treatment equipment, which are critical to the advanced integrated circuit manufacturing and advanced wafer-level packaging manufacturing industries, and is committed to providing semiconductor manufacturers with customized, high-performance, low-consumption process solutions to improve their multi-step production efficiency and product yield.

Read on