laitimes

ASML's next-generation high-precision EUV lithography machine will sell for up to $300 million

Abstract: For semiconductor manufacturing, lithography machines are extremely critical equipment. The data shows that in the advanced process production line, the cost of lithography machine accounts for up to 22%, and also accounts for 20% of the time consumed in all manufacturing processes. The EUV lithography machine, which is exclusively supplied by ASML, a major global lithography machine, is an indispensable equipment for the manufacture of advanced processes below 7nm.

ASML's next-generation high-precision EUV lithography machine will sell for up to $300 million

For semiconductor manufacturing, lithography machines are extremely critical equipment. The data shows that in the advanced process production line, the cost of lithography machine accounts for up to 22%, and also accounts for 20% of the time consumed in all manufacturing processes. The EUV lithography machine, which is exclusively supplied by ASML, a major global lithography machine, is an indispensable equipment for the manufacture of advanced processes below 7nm.

At present, ASML has launched the third generation of EUV lithography machines, namely TWINSCAN NXE: 3400B, NXE: 3400C, NXE: 3600D, and the numerical aperture is 0.33. Theoretical third-generation EUV lithography machine production of chip accuracy of up to 2nm or so, once into the 2nm node below, but also need a higher precision lithography machine, called High NA (high numerical aperture) EUV lithography machine.

ASML's next-generation high-precision EUV lithography machine model is EXE: 5000, numerical aperture of 0.55, can be used for chip manufacturing below 2nm nodes, such as 1.4nm (14 Angstrom), 1nm (10 Angstrom) and other processes.

At the end of 2020, there were media reports that ASML has basically developed a new generation of high-precision EUV exposure equipment, and is in trial production, and is expected to start commercial use in 2022. Recently, the Belgian Microelectronics Research Center (IMEC) also said that ASML EXE: 5000 EUV lithography machine will be available from 2022 to 2023. However, the mass production of advanced process node chips below 2nm will not wait until at least after 2025.

According to imec's advanced process node evolution at the ITF conference in November, the A14 (A14=1.4 nanometer) process node will be launched in 2025, the A10 (10=1 nanometer) process node will be launched in 2027, and the A7 (A7=0.7 nanometer) process node will be launched in 2029.

Market analysts also said that ASML NXE: 5000 model 0.55 high numerical aperture EUV exposure equipment, each set is expected to sell for up to 300 million US dollars, is 0.33 aperture EUV lithography equipment twice, is another amazing capital expenditure peak for semiconductor manufacturers, currently only TSMC, Samsung, Intel and other three manufacturers have the ability to continue to develop advanced processes, the future competition for high-value aperture EUV lithography machine to seize the opportunity, will be an inevitable drama.

Editor: Xin ZhiXun - Lin Zi

Read on