天天看點

先進封裝最強科普

先進封裝最強科普

在過去幾年中,先進封裝已成為半導體越來越普遍的主題。在這個由多個部分組成的系列中,SemiAnalysis将打破大趨勢。我們将深入研究實作先進封裝的技術,例如高精度倒裝晶片、熱壓鍵合 (TCB) 和各種類型的混合鍵合 (HB)。

本次深入探讨将包括各種代工廠、IDM、OSAT和無晶圓廠設計公司的使用狀況、裝置采購以及技術選擇的差異。它還将包含 Besi Semiconductor、ASM Pacific、Kulicke and Soffa、EV Group、Suss Microtec、SET、Shinkawa、Shibaura和 Xperi 等公司對裝置和供應鍊的評論。

先進封裝最強科普

首先讓我們讨論一下對先進封裝的需求。摩爾定律以迅猛的速度發展。自台積電 32nm 失誤以來,直到目前的 5nm 工藝節點,台積電的半導體密度每年增長 2 倍。盡管如此,真實晶片的密度每 3 年增長約 2 倍。這種較慢的速度部分是由于 SRAM 縮放、功率傳輸和熱密度的消亡,但大多數這些問題都與資料的輸入和輸出有關。

先進封裝最強科普

晶片上資料的輸入和輸出 (IO) 是計算的命脈。将記憶體置于晶片上有助于通過減少通信開銷來減少 IO 需求,但歸根結底,這是一種有限的擴充途徑。處理器必須與外部世界進行交易以發送和接收資料。摩爾定律使業界的半導體密度大約每 2 年增加 2 倍,但 IO 資料的速率每 4 年才增加 2 倍。幾十年來,半導體密度與 IO 資料速率的這種差異出現了巨大差異。共同封裝的光學器件隻是解決這個問題的一種方法,它并不是單獨出現的。

從根本上說,晶片需要容納更多的通信或 IO 點才能跟上。不幸的是,這方面的最後一個主要步驟功能增加是在 90 年代轉向倒裝晶片封裝。

先進封裝最強科普

傳統的倒裝晶片封裝的凸點間距在 150 微米到 200 微米之間。這意味着每個 IO 單元在裸片的底側相距 150 到 200 微米。台積電 N7将凸點間距降低到 130 微米,英特爾的 10nm 将凸點間距降低到 100 微米,這些進步被稱為細間距倒裝晶片。不要小看這些進步,因為它們極大地促進了更好的處理器,但 2000 年的封裝技術與 2021 年的封裝技術基本相同。

2000年的250mm?的晶片與2022年的250mm?晶片在半導體數量、性能和成本方面有着難以置信的不同。摩爾定律每 2 年翻一番,表示半導體數量增加了 2000 倍以上。顯然,現實并不那麼有利,但半導體仍然增加了幾個數量級。在硬币的另一面,封裝沒有享受同樣水準的增長。

在台積電的 N7 節點上,AMD 的凸塊間距從約 200 微米變為 130 微米,IO 僅增加了 2.35 倍。如前所述,英特爾在 10 納米工藝上從200 微米的凸點間距變為 100 微米,進而實作了更大的縮放。這仍然隻會使 IO 增加 4 倍。2.35倍或4倍的增加是相對于半導體數量增加的舍入誤差。

先進封裝最強科普

這帶來了pad(矽片的管腳)受限設計的概念。将舊設計轉移到新工藝節點時,設計本身可能會大幅縮小,但 IO 需求會阻止晶片尺寸縮小多少。由于需要 IO,裸片尺寸保持較大且留有空白空間。這些情況稱為pad limited,并且非常頻繁。

順便說一句,這不僅與将使用先進封裝的前沿有關,而且與圍繞汽車晶片和一般半導體短缺的讨論有關。Intel 的首席執行官 Pat Gelsinger 認為,這些短缺的公司應該轉向 Intel 16nm 代工服務。

Pat Gelsinger表示,今天,我們宣布在英特爾 16 和愛爾蘭工廠的其他節點上提供歐洲代工服務,我們相信這有機會幫助加速結束供應短缺,我們正在與汽車和其他行業合作幫助建立這些能力。但我也想說有些人可能會争辯說,好吧,讓我們在舊節點上建構大部分汽車晶片。舊節點不需要一些舊晶圓廠嗎?我們是想投資過去還是想投資未來?

一個新的晶圓廠需要 4 到 5 年的時間才能建成并具有生産價值。不是解決今天的危機,投資于未來,不要選擇向後投資。相反,我們應該将所有設計遷移到新的現代節點,為未來增加供應和靈活性做好準備。

Intel的問題在于,當從古老的節點轉移到相對現代的節點時,這些設計将受到pad限制。由于每 mm?的成本較高,機關成本經濟學在這裡不起作用,因為由于pad有限,晶片面積不能很好地縮放。除了這些成本之外,由于必須在較新的節點上重新設計舊晶片和整個重新認證過程,是以一次性成本也很高。将舊晶片移到新節點的解決方案是不可行的。

先進封裝最強科普

那麼如何增加 IO 計數呢?

一種途徑是尋找使晶片更大的方法。面積越大,IO的空間就越大。這不是最佳途徑,但設計人員會經常增加晶片上的記憶體,以便在晶片上存儲更多資料。這反過來又在一定程度上減少了 IO 需求。AMD 最近的架構就是一個很好的例子,因為它們在 CPU 和 GPU 上都有巨大的緩存。

AMD 将其命名為 InfinityCache(無線緩存)。解決方案是通過提供大量的片上 SRAM 來存儲處理器中與計算最相關的資料,進而降低記憶體帶寬要求。在 GPU 領域,AMD 明确表示他們能夠通過添加無限緩存将 GDDR6 總線大小從 384 位減少到 256 位。蘋果在這方面也很積極,在他們内部設計的處理器上塞滿了大量的緩存。這些設計選擇的一個組成部分與功率有關,但很大一部分也是由于pad限制。

先進封裝最強科普

另一種途徑是添加各種專用電路以提高晶片效率。我們在大量的異構計算中看到了這一點。回到我們的Apple A15 晶片分析,令人驚訝的是 CPU 或 GPU 的專用區域如此之少。這是人們談論最多的兩個方面。Apple 沒有專注于這些營銷方面,而是将大量區域用于其他功能。雖然沒有标注,但右下角主要是圖像信号處理器。這塊巨大的部分正在做與拍照和視訊相關的計算。還有另一個未标記的塊與媒體編碼和解碼相關的計算有關。在 SoC 周圍,可以找到這些相當小的統一矩形,它們是 SRAM 緩存,可将更多資料儲存在晶片上,而不必進入記憶體。

先進封裝最強科普

這些工作負載不能在傳統CPU上運作。AI的模型越來越大,Facebook 的深度學習推薦系統模型有超過12萬億個參數。不斷膨脹的模型尺寸緻力于讓你在應用上停留更長時間并點選更多廣告。谷歌開發了自己的晶片,用于人工智能模型的訓練和推理,稱為 TPU。随着 VCU(一種新型處理器)的出現,他們擴大了在晶片工作的研究,如果專用于同一任務,它能夠取代 1000 萬個 CPU。

亞馬遜有定制的網絡晶片,也運作他們的管理程式和管理堆棧。他們擁有自己的晶片,專門用于AI 訓練、AI 推理、存儲控制和 CPU。當你檢視 Marvell 和 Broadcom ASIC 服務的重點時,就會發現,硬體設計和架構的分散性隻會增加。

先進封裝最強科普

就連英特爾,這家認為每個工作負載都應該在 CPU 上運作的公司,也認識到唯一的前進道路是異構設計。與針對每項任務的通用 CPU 硬體不同,該行業正在采用常見的工作負載并專門為它們建構晶片。這使架構師能夠獲得更高的每機關矽性能。

長話短說,除了 CPU 之外,專用內建電路的異構內建是至高無上的。不過,更多記憶體和更多異構計算并不是萬能的。雖然通過增加記憶體和異構計算來增加晶片尺寸對于消除pad限制和提高能源效率非常有用,但這些都是要花錢的。很多錢。

更多的晶片面積意味着更多的引腳、更多的內建功能,但這也是成本失控的絕妙方法。并且晶片尺寸已經達到極限。例如,看看英偉達或英特爾的資料中心陣容。兩者都接近“标線限制”超過 5 年。即使他們願意,他們也無法繼續制造更大的晶片。晶片收縮已經大幅放緩,助長了這個問題。

先進封裝最強科普

是以,收縮已經放緩,晶片尺寸無法增長得更大,設計也受到pad的限制,這些是唯一的問題嗎?

不幸的是,不是。矽單元經濟學也遇到了障礙。半導體行業及其下遊企業單槍匹馬地推動了整個經濟的通縮環境,抵消了其他地方的通脹行動。沒有它,80 年代以來的美國和歐洲将經曆無休止的滞脹。不過,這種變革性的通貨緊縮力量正在遇到障礙。半導體機關經濟沒有改善。事實上,将半導體縮小到更小,它們甚至變得更糟。制造大晶片不僅昂貴,而且比之前的一代更昂貴。

先進封裝最強科普

這張來自 AMD 的圖表描繪了一幅非常病态的畫面。雖然每個節點的轉變并不相同,但很明顯,在 7nm 和 5nm 處,該行業已經達到了拐點。每産出平方毫米的成本增加幅度不是很小,而是很大。盡管節點轉換帶來了類似的密度增益,或者可能由于 SRAM 縮放速度放緩而更糟,但成本的增加并沒有跟上。與每半導體成本相關的趨勢逆轉令業界震驚。這種逆轉具有巨大的影響,甚至導緻無知的銀行家以此為理由,下調台積電的評級,稱其估值過高。

先進封裝最強科普

摩根士丹利認為,由于摩爾定律正在放緩,半導體成本縮放已經停止,台積電的定價壓力将減弱。摩根士丹利通過一張可笑的圖表來證明這一點,該圖表顯示 5nm 的半導體成本低于 7nm,這與業内專家形成鮮明對比。随着 FinFET 節點的引入,每個半導體的成本停滞不前,7nm 完全趨于穩定,而 5nm 則比以往任何時候都高。我們的讀者可以算一算,N7 晶圓約為 9500 美元,N5 晶圓約為16000 美元。蘋果的晶片尺寸幾乎沒有下降,但他們付了錢。

是以,每個半導體的成本仍在增加,但對計算的需求比以往任何時候都增加。我們轉向異構架構進行反擊,但現在晶片設計過程要困難得多。該行業必須依靠許多擁有不同 IP 的團隊按時傳遞并将其整合在一起。Synopsys 和 Cadence 等 EDA 供應商在協助方面做得非常出色,但這還不夠。對于沒有超過 1000 萬個單元用例的任何人來說,一個可以購買特定應用 IP 或晶片并将其內建到硬體設計中的開放生态系統是必要的。即使對于這些公司,小晶片風格的系統架構也是答案。

先進封裝最強科普

随着我們繼續收縮,預期收益率會緩慢下降。這是一個合乎邏輯的結論,因為每個連續的節點都會增加約 35% 的流程步驟。目前沿流程在數千個流程步驟中進行衡量時,錯誤開始迅速堆積。工業公司喜歡談論“Six Sigma”,但這對半導體制造來說還不夠。讓我們假設一個有 2000 個工藝步驟的過程,每個步驟的每 cm?缺陷數為Six Sigma。那麼D0(每 cm?缺陷率的行業術語)最終将是0.678。晶片越大,出現缺陷的可能性就越大。

如果這個假設的過程是建構英特爾的高端伺服器 CPU,Ice Lake。這将導緻每個晶片有 4 個良好的裸片和 76 個有缺陷的裸片。現在考慮這個分析是在 cm?水準上完成的,并且在前沿工藝節點上每 cm?有數十億個半導體。半導體行業比Six Sigma好得多。

除了尺寸的完美之外,還有什麼解決方案?

Chiplets——小晶片!将大籌碼分成許多小籌碼。

AMD 是這方面最受歡迎的例子,但這是整個行業的趨勢。AMD 可以設計 3 個晶片,一個CPU 核心小晶片和 2 個 IO 晶片。這 3 種設計覆寫了很大一部分市場。同時,英特爾設計了 2 個 Alder Lake 桌上型電腦晶片和 3 個 Ice Lake 伺服器晶片,以服務于相同的潛在市場。是以,AMD 可以節省設計成本,制造比英特爾更多核心的 CPU,并節省收益成本。

要示範 yield 參數,請參見下表。AMD将 CPU 核心拆分為 8 個 CPU 核心小晶片。如果良率是 100%,英特爾将能夠以比 AMD 更低的每個 CPU 核心的成本制造核心。但相反,英特爾必須在每個 CPU 核心上花費更多,因為更大的晶片有更多的缺陷。下表有一些明顯的警告,其中最大的假設是缺陷晶片的收獲率為 0,并且英特爾和台積電具有相同的 D0。這些假設都不是真的,這個練習是為了示範目的。

先進封裝最強科普

小晶片(Chiplet)很棒,但它不是孤立的解決方案。我們仍然遇到許多相同的問題。每個半導體的成本仍在上升,設計成本飙升,由于需要更多 IO 來與其他晶片接口,小晶片被pad限制。由于 IO 限制,部分晶片無法拆分,是以晶片尺寸仍在達到峰值。

解決辦法是什麼?

先進封裝!

這就是我們要注意的地方,一些工具供應商将所有倒裝晶片封裝稱為“先進封裝”。SemiAnalysis 和大多數業内下遊人士不會這麼說。是以,我們将所有凸點尺寸小于 100 微米的封裝稱為“先進”。

先進封裝最強科普

最常見的先進封裝類别稱為扇出。有些人會争辯說它甚至不是先進的封裝,但那些人大錯特錯。以Apple 為例,他們将讓台積電采用應用處理器晶片,并将其與 90 微米到 60 微米數量級的更密集凸塊封裝到重組或載體晶圓/面闆上。與傳統倒裝晶片封裝相比,凸點密度大約高出 8 倍。

這種重組或載體晶圓/面闆然後進一步展開 IO,是以得名扇出。然後将扇出封裝連接配接到主機闆。矽晶片的設計可以減少對pad受限的擔憂,因為扇出處的pad較小。該封裝還可以封裝 DRAM 記憶體、NAND 存儲和 PMIC。內建扇出不僅有利于密度,而且它們還在封裝上保留了大量的晶片間 IO。否則,該 IO 将不得不以更大的IO 間距尺寸通過主機闆進行接口。

內建扇出對于高性能應用程式變得越來越普遍,不僅僅是移動應用程式。增長最快的用例是在十多年來設計一直受到限制的事物的網絡方面。AMD 将在其伺服器 CPU 和 GPU中非常積極地采用扇出。Tesla Dojo 1是內建扇出封裝的另一個引人注目的例子,但在晶圓級。SemiAnalysis透露,特斯拉将在釋出公告前使用這種包裝類型。

先進封裝最強科普

在先進封裝中,有 2.5D 和 3D 封裝。2.5D 涉及封裝在其他矽片上的矽片,但較低的矽片專用于布線,沒有有源半導體。這通常以55 微米到 50 微米的間距完成,是以凸點密度高出約 16 倍。最常見和最高容量的用例是具有 TSMC CoWoS(基闆上晶圓上晶片)的 Nvidia 資料中心 GPU。台積電将有源晶片封裝在隻有互連和微凸點的晶圓上。然後使用傳統方法将這疊晶片封裝到基闆上。

其他示例基本上包括每個帶有 HBM 的處理器。HBM 是作為一種階梯函數增加記憶體帶寬的方法而建立的,這種方法高于傳統形式的 DRAM。它通過使用更寬的記憶體總線來實作這一點。這些寬總線會産生與 IO 計數相關的問題,但 HBM 是從頭開始設計的,以便在同一包内共存。這颠覆了 IO 問題,同時也允許更緊密的內建。

2.5D 的更多示例包括基于Intel EMIB 的産品、Xilinx FPGA、AMD 最新的資料中心 GPU 和Amazon Graviton 3。

先進封裝最強科普

3D 封裝是将一個有源晶片封裝在另一個有源晶片之上。這最初由英特爾以 55 微米間距的邏輯矽一起發貨,但批量用例将在 36 微米及以下。台積電和 AMD 将推出 17 微米間距的 3D堆疊 V-cache。該技術從凸塊過渡到矽通孔 (TSV),并且具有更大的擴充空間。

其他應用,例如索尼制造的 CMOS 圖像傳感器,其間距已經達到 6.3 微米。為了保持比較,36 微米間距的凸點密度高出 31 倍,17 微米間距實施的銅TSV 的 IO 密度将提高 138 倍,而索尼的6.3微米間距的CMOS圖像傳感器的IO密度比标準翻轉晶片高567倍。

先進封裝最強科普

這隻是對主要封裝類型的基本解釋,但我們将深入研究本系列中的不同類型的封裝。對未來的封裝類型、工具以及工具供應商有很多不同的賭注。裝置和 IP 方面比人們乍一看想象的要興奮得多,但在我們深入研究之前,需要先解釋基礎知識。

對于即将到來的創新海洋,有很多可投資的想法和角度。摩爾定律的放緩正在推動根本性的變化。我們正處于先進封裝推動的半導體設計複興之中。

轉半導體行業觀察

----全文到此為止,如果喜歡,請點下“在看”或分享到朋友圈。

- END -

繼續閱讀