laitimes

The revenue of the micro company increased by more than 36% last year Where is Yin Zhiyao's "ambition to peak"

Per reporter: Zhu Chengxiang Per reporter: Chen Junjie

"Climbing the brave, aiming for the peak", Yin Zhiyao, chairman of Zhongwei Company (688012, SH; yesterday's closing price of 113.94 yuan / share), wrote in the chairman's speech of the company's 2021 annual report.

Yin Zhiyao's "ambition to be at the peak" is the result of the joint efforts of Zhongwei Company in the two major fields of etching equipment and MOCVD equipment. In 2021, the operating income of Zhongwei Company was 3.108 billion yuan, an increase of 36.72% year-on-year. Among them, the revenue of etching equipment was 2.004 billion yuan, an increase of about 55.44% year-on-year.

"So far this year (2021), Primo HD-RIE for 3D NAND applications and Primo AD-RIE-e for logic applications at 7nm and below nodes account for 50% of total equipment shipments." Introduction to the official website of Zhongwei Company.

3D NAND is the most advanced product in the field of flash memory, and 7nm and below node chips, only a few fabs such as TSMC and Samsung have manufacturing capabilities in the world.

However, it should be noted that the semiconductor industry has certain cyclical characteristics. Zhongwei companies also said that in the process of improving the prosperity of the industry, the industry often increases capital expenditure and rapidly increases the demand for related equipment; in the process of declining industry prosperity, the industry may reduce capital expenditure, which in turn will adversely affect the demand for equipment.

Last year's revenue exceeded 3.1 billion

Yin, an expert in etching equipment, joined Lam Research in 1986 and led the development of etching technology while bringing solutions to a number of key products. Prior to the establishment of Zhongwei, Yin Zhiyao worked at Applied Materials for 13 years, where he served as the company's vice president, general manager of the plasma etching equipment product group, vice president of procurement in Asia, and chief technology officer of Applied Materials Asia.

His career is inseparable from etching equipment. The two companies he has worked for are also among the top three etching equipment manufacturers in the world. According to Gartner's 2019 statistics, Lam Semiconductor, Tokyo Electronics and Applied Materials accounted for 44.7%, 28.0% and 18.1% of the global market share, respectively.

Under the leadership of Yin Zhiyao, Zhongwei Company has gradually grown into a domestic etching equipment leader. In Gartner's 2019 statistics, Zhongwei Company's etching equipment ranked sixth in the world, with a market share of 1.1%.

In 2021, the operating income of Zhongwei Company was 3.108 billion yuan, an increase of 36.72% year-on-year. Among them, the revenue of etching equipment was 2.004 billion yuan, an increase of about 55.44% year-on-year, and the gross profit margin was 44.32%.

The main products of Zhongwei Company can be divided into CCP etching equipment, ICP etching equipment and MOCVD equipment.

In terms of etching equipment technology, the relevant equipment of Zhongwei Company can be used for the production of advanced logic chip processes and 3D NAND chips. The 12-inch high-end etching equipment developed by the company has been used in the advanced chip production lines of 65 nanometers to 5 nanometers in internationally renowned customers. At the same time, according to the needs of advanced integrated circuit manufacturers, the company has developed 5nm and more advanced etching equipment for the processing of several key steps, and has obtained bulk orders from industry-leading customers. The company is currently developing next-generation etching equipment and etching processes, including more advanced Damascus, to meet customer needs, covering more etching needs under 5 nanometers and more equipment for different critical applications.

In fact, at present, the only fabs in the world that can produce advanced process chips of 5 nanometers and below are TSMC and Samsung.

In the field of 3D NAND, Zhongwei's capacitive plasma etching equipment can be applied to mass production of 64 layers and 128 layers, and the company is developing a new generation of etching equipment and processes that can cover key etching applications of 128 layers and above and corresponding very high aspect ratios according to the needs of memory manufacturers.

In addition, Zhongwei Is Conducting Technology Research and Development for Next-Generation Products to Meet the ICP Etching Needs of Logic Chips Below 5 Nanometers, DRAM Chips of 1X Nanometers, and 3D NAND Chips of More Than 128 Layers, and conducts the development of high-yield ICP etching devices.

For the development of the etching equipment business, Yin Zhiyao said in the chairman's speech: "The company's CCP plasma etching equipment products continue to maintain a competitive advantage, and continue to increase market share in the logic and memory chip manufacturing production lines of first-line customers at home and abroad, including advanced 5nm chip production lines and next-generation trial production lines, and the market share of some key customers has entered the top three or even the top two." ”

Catch the Mini LED outlet

In the impression of many investors, the micro company is the leader of etching equipment. In fact, Zhongwei's MOCVD products also have a greater influence in the LED equipment market, especially when the Mini LED trend is gradually rising.

According to TrendForce Jibang Consulting, with the increase in the penetration rate of Mini LED backlight display, and the gradual entry of Mini LED direct display into the commercial display and other markets, the demand for LED epitaxial wafers brought by Mini/Micro LED new displays will grow rapidly.

Ping An Securities Research Report shows that MOCVD equipment is a key equipment for LED manufacturing, and the purchase amount accounts for more than 50% of the production line investment. 2021 is known as the first year of Mini LED, GGII statistics, more than 80% of the investment in the LED industry chain during the year is concentrated in LED display, especially in the field of Mini/Micro LED, Mini LED production capacity investment has boosted the demand for MOCVD.

According to IHS Markit statistics, in 2018, The MOCVD of Zhongwei Company accounted for 41% of the new market for MOCVD for gallium nitride-based LEDs; especially in the second half of 2018, the MOCVD of Zhongwei Company accounted for more than 60% of the global new GaN-based LED MOCVD equipment market.

In June 2021, Zhongwei Company released prismoUniMax, a MOCVD device for mass production of high-performance Mini LEDs, and within half a year, it has received batch orders from many leading customers in China totaling more than 100 cavities, and continues to receive more orders.

On March 29, Zhongwei Company announced on the official WeChat account that its wholly-owned subsidiary, Nanchang Zhongwei Semiconductor Equipment Co., Ltd., received a purchase order for MTC Technology's 52-cavity MOCVD equipment.

As a technology-intensive industry, the development of THE MOCVD business of Zhongwei Company is inseparable from talents. According to the official website of Zhongwei Company, the main leaders in its leadership team related to the MOCVD business are Ji Hua, vice president of Nanchang Zhongwei and general manager of MOCVD China; and Guo Shiping, vice president and general manager of MOCVD product department.

The company's official website describes Jihua as "leading the Team in China to actively promote the sales and service of MOCVD equipment, achieve explosive sales business growth within three years, and be responsible for the establishment and operation of Nanchang Zhongwei Company." ”

Guo Shiping is mainly engaged in the development and management of MOCVD equipment, and has many years of experience in the development, equipment research and development and operation of compound semiconductor material epitaxial processes. From 2006 to 2012, Guo Shiping served as a senior researcher in IQE-RF in the United States, and the director of operation and R&D of gallium nitride division, mainly engaged in the research and development and operation of ganide transistors and luminescent material MOCVD epitaxial growth.

Gallium nitride is a third-generation semiconductor. Moreover, the third generation of semiconductors is also a major application direction of MOCVD devices. Zhongwei said: "In view of the rapid development of the application of compound semiconductor power devices in the market, the company is developing special epitaxial equipment for silicon-based gallium nitride and silicon carbide power devices, continuously enriching the company's equipment product line and strengthening the company's competitive advantage in the third-generation semiconductor equipment market." ”

However, The company also warned of the risks that in recent years, fabs and LED epitaxial wafer manufacturers have cautiously expanded production. It cannot be ruled out that the subsequent investment of downstream individual fabs and LED epitaxial wafer manufacturers is less than expected, and the procurement demand for related equipment is weakened, which will affect the company's order volume, which will adversely affect the company's performance.

Daily economic news

Read on