laitimes

Semiconductor equipment industry special report: thin film deposition equipment, benefiting from the increase in localization rate

(Report Producer/Author: Orient Securities, Pu Jian, Ma Tianyi, Tang Quanxi)

1. Thin film deposition is one of the three core steps of the semiconductor process

Wafer fabrication includes seven major processes: oxidation diffusion, lithography, etching, thin film deposition, ion implantation, cleaning and polishing, and metallization. Semiconductor equipment is the foundation of the semiconductor production process, and the degree of advanced semiconductor equipment directly determines the quality and efficiency of semiconductor production. Among them, the thin film deposition equipment manufacturing technology is difficult, the threshold is extremely high, is one of the three core equipment in the semiconductor manufacturing process (the other two are lithography equipment and etching equipment).

As one of the core equipment of wafer manufacturing, thin film deposition equipment accounts for second only to lithography machines in the wafer manufacturing process, accounting for about 25%. According to SEMI and Maximize Market Research, the global semiconductor equipment market reached $71.2 billion in 2020, of which the thin-film deposition equipment market size was about $17.2 billion.

Depending on the working principle, integrated circuit thin film deposition can be divided into physical vapor deposition (PVD), chemical vapor deposition (CVD), and others. Thin film deposition processes have evolved, and different processes for wafer fabrication have evolved from different devices such as PECVD, sputtering PVD, ALD, LPCVD, etc. to different applications.

At present, CVD equipment accounts for the highest proportion of CVD equipment in the world's thin film deposition equipment, accounting for 64% in 2020, and sputtering PVD equipment accounting for 21%. Among CVD devices, PECVD is the mainstream device type, accounting for 53% of CVD devices in 2020, followed by ALD devices with 20%. (Source: Future Think Tank)

Semiconductor equipment industry special report: thin film deposition equipment, benefiting from the increase in localization rate

2. Multi-factor driving demand for domestic thin film deposition equipment

The construction of domestic production lines has greatly stimulated the demand for domestic equipment. The semiconductor equipment market is mainly dominated by the United States and Japanese manufacturers, under the background of trade frictions, the localization of semiconductor equipment demands have been enhanced, and domestic fabs such as Yangtze River Storage, Shanghai Jita, SMIC, Huahong, Silan Micro, hefei Jinghe have actively imported domestic equipment in the process of new capacity construction, which has greatly stimulated domestic semiconductor equipment demand.

Chip process advancements and structural complexity drive the demand for high-performance thin-film equipment. With the continuous development of integrated circuits, the wafer manufacturing process continues to be precisiond, the complexity of the chip structure is also increasing, the need to be manufactured on a smaller line width, the variety of films required by manufacturers to prepare is increasing, and the end-user requirements for film performance are also increasing. This trend has created higher technical requirements for thin film deposition equipment, and the market's dependence on high-performance thin film equipment is gradually increasing. Taking the evolution of CVD equipment as an example, compared with the traditional APCVD and LPCVD equipment, PECVD equipment forms a high-density, high-performance film at a relatively low reaction temperature, does not damage the existing film and the underlying circuit that has been formed, achieves faster film deposition speed, and has become the most widely used equipment type in the chip manufacturing thin film deposition process, and the application of HDPCVD and FCVD is expected to increase in the future. ALD equipment is also expected to be more widely used in 14nm and below process logic chips, 17nm and below DRAM chips; domestic Changxin Storage has achieved mass production of 19nm DRAM chips, and the future 17nm is also expected to make breakthroughs to drive the demand for domestic high-end thin film deposition equipment.

Process upgrades have led to an increase in the amount of thin film deposition equipment. Driven by Moore's Law, the significant improvement of component integration requires the continuous reduction of the width of the integrated circuit, which affects the manufacturing process of integrated circuits more and more complex. Especially when the line width to 7 nanometers and below the development of the process, the current market commonly used lithography machine by the wavelength limitation accuracy can not meet the requirements, the need to use multiple exposure process, repeated multiple thin film deposition and etching process to achieve a smaller line width, so that the number of thin film deposition significantly increased. In the 90nm CMOS process, approximately 40 thin film deposition steps are required. In the 3nm FinFET process line, more than 100 thin film deposition processes are required, and the requirements for thin film particles are increased from micron to nanoscale. In terms of thin film deposition equipment usage, SMIC is an example, the average amount of CVD and PVD equipment for a 180nm 8-inch wafer production line with a capacity of 10,000 pieces is about 9.9 units and 4.8 units, while the amount of CVD and PVD equipment for a 10,000-piece 90nm 12-inch wafer production line can reach 42 units and 24 units, respectively.

Semiconductor equipment industry special report: thin film deposition equipment, benefiting from the increase in localization rate

The increase in the number of 3D NAND stacks pulls the demand for thin film deposition equipment. In the manufacturing process in the memory field, the main method of increasing the degree of integration is to increase the number of layers of the three-dimensional stack, and the number of stacked layers develops from 32/64 layers to 128/196 layers, and each layer needs to go through the thin film deposition process steps to generate more equipment needs.

At present, the domestic Yangtze River Storage has achieved mass production of 128 layers of QLC/TCL 3D NAND, and the phase 1 factory has reached full production; the future 192 layers of 3D NAND is also expected to make a breakthrough, and the construction of higher layer 3D NAND capacity will generate more thin film deposition equipment needs. (Source: Future Think Tank)

3. The dislocation of domestic manufacturers will benefit from the increase in localization rate

The global thin film deposition equipment market is monopolized by international giants such as Applied Materials (AMAT), Lam Research, Tokyo Electronics (TEL) and Pioneer Semiconductor (ASM).

The localization rate of thin film deposition equipment is estimated to be only 5.5% (according to the caliber of the number of devices). In recent years, the localization of semiconductor equipment in the mainland has grown rapidly, but overall, the manufacturing of the semiconductor industry in the mainland still needs a large number of imported equipment support, and localization is still at a low level. We have calculated the bidding of thin film deposition equipment in some major wafer manufacturing production lines in China since January 1, 2020, 6 manufacturers have bid for a total of 1060 thin film deposition equipment (only PVD and CVD equipment), and 58 domestic manufacturers have won the bid, of which 40 units (mainly PECVD equipment) won the bid, with a domestic market share of 3.8%; Northern Huachuang won the bid for 18 units (mainly PVD equipment), with a domestic market share of 1.7%. Overall, the localization rate of domestic thin film deposition equipment is estimated to be only 5.5% (according to the caliber of the number of equipment).

There is no direct competition between domestic manufacturers, and the localization rate increases:

1) Tuojing Technology leads the localization of PECVD: Tuojing Technology has CVD, ALD supply capabilities, CVD products include PECVD and SACVD, of which the main product is PECVD, although North Huachuang also has PECVD products, but it is currently mainly used in the field of photovoltaic /LED/power devices/MEMS. Tuojing Technology is also the only manufacturer in China that industrially produces SACVD equipment, and the CVD products of North Huachuang are mainly LPCVD and APCVD in addition to PECVD. In terms of ALD products, the application process of Tuojing Technology and North Huachuang products is different (Tuojing Technology ALD is applied to SADP process, STI surface film; North Huachuang ALD is applied to HKMG process). It can be seen that there is no direct competition between Tuojing Technology and Northern Huachuang.

Semiconductor equipment industry special report: thin film deposition equipment, benefiting from the increase in localization rate

2) North Huachuang PVD has significant advantages: North Huachuang thin film deposition product line is more comprehensive, with PVD, CVD, ALD product supply capacity, in the field of PVD equipment competitive advantage is significant, the domestic PVD equipment imported by the domestic production line is basically from the north Huachuang. Tuojing Technology and Zhongwei Company do not yet have the ability to supply PVD products.

3) Zhongwei company is mainly MOCVD equipment, for the north Huachuang, Tuojing technology is not industrialized in the field, the products are used in LED, miniLED compound semiconductors, the main customers are Dry Photoelectric, Sanan Optoelectronics and other LED manufacturers, Zhongwei in the LED and miniLED MOCVD field occupies the majority of the domestic share. Zhongwei is the third largest shareholder of Tuojing, holding 11% of Tuojing's shares, and Has also formed a team to develop LPCVD and EPI devices.

4. Investment Analysis

1) Tuojing Technology – leading the localization of PECVD equipment

PECVD equipment is the company's main source of income, and continuous investment in research and development. Over the years, Tuojing Technology has been committed to the research and development, production, sales and technical services of high-end semiconductor equipment, and has become one of the national high-tech representative enterprises. At present, the company mainly focuses on thin film deposition equipment, and its products include plasma enhanced chemical vapor deposition (PECVD) equipment, atomic layer deposition (ALD) equipment and substatic pressure chemical vapor deposition (SACVD) equipment, which have been widely used in domestic 14nm and above process integrated circuit manufacturing lines, and continue to strengthen the verification and testing of 10nm and the following advanced process products. In 2020, the company achieved operating income of 436 million yuan, a substantial increase of 73% year-on-year, and a gross profit margin of 34%. Among them, the revenue of PECVD equipment was 418 million yuan, which was the main source of revenue for the company; ALD and SACVD equipment were 1.84 million yuan and 8.67 million yuan, respectively. In recent years, the company has continued to strengthen investment in product research and development, and the research and development expenditure in 2020 is 123 million yuan, accounting for 28% of the operating income.

Semiconductor equipment industry special report: thin film deposition equipment, benefiting from the increase in localization rate

Tuojing Technology is the only manufacturer of industrialized application integrated circuit PECVD equipment in China. The biggest advantage of the company's series of equipment is that it has a low comprehensive cost of use for customers under the premise of satisfying the process requirements, and is currently suitable for 180-14nm logic chips, 19/17nm DRAM, 64/128 layers of FLASH manufacturing and other fields, which can deposit SiO2, SiN, SiON, BPSG and other materials. Among them, the company's 12-inch PECVD equipment PF-300T and 8-inch PECVD equipment PF-200T have been industrialized. In 2020, the company produced 50 sets of PECVD equipment and sold 31 sets; 2021Q1 PECVD equipment mass production and sales volume were 14 sets and 4 sets, respectively, which is the company's main sales products.

The film-forming reaction time of ALD equipment of Tuojing Technology is short, the amount of reaction gas used is small, and the number of film particles and the average thickness can be accurately controlled. At present, the company's 12-inch PEALD equipment FT-300T has been industrialized applications, can deposit SiO2 and SiN material films, widely used in domestic 55-14nm logic chip manufacturing, 55-40nm BSI process wafer fabrication, 2.5D, 3D TSV and other advanced packaging fields. In 2020, the company will produce 1 ALD equipment. At the same time, the company is developing 12-inch Thermal ALD equipment to meet the needs of chip manufacturing below 28nm, and can deposit Al2O3, AlN and other metal compound materials.

The company is also the only manufacturer in China to realize the industrialization of SACVD equipment applications. This series of equipment has excellent groove filling ability and fast filling rate, which greatly improves the efficiency of use. The company has 12-inch SACVD equipment SA-300T and 8-inch SACVD equipment SA-200T two major equipment, can deposit BPSG, SAF and other media thin film materials, mainly suitable for STI, ILD process wafer fabrication. The company will mass-produce 3 SACVD devices and sell 1 SACVD device in 2020, and the 2021Q1 equipment production capacity will be 2 units.

2) North Huachuang - leading the localization of PVD equipment

North Huachuang was reorganized by the strategy of Seven Star Electronics and North Microelectronics, and continues to walk at the forefront of the domestic integrated circuit equipment and precision electronic components industry. The company's electronic process equipment mainly includes semiconductor equipment, vacuum equipment and lithium battery equipment, which are widely used in integrated circuits, semiconductor lighting, power devices, micro-electromechanical systems, advanced packaging, new energy photovoltaics and other fields.

Semiconductor equipment industry special report: thin film deposition equipment, benefiting from the increase in localization rate

Rapid revenue growth and high investment in research and development. Thanks to the downstream target market demand and the steady growth of the company's scale, North Huachuang is expected to achieve operating income of 8.5-10.9 billion yuan in 2021, an increase of 40-80% year-on-year, and net profit attributable to the mother of 940-1.21 billion yuan, a substantial increase of 75-125% year-on-year. The company's operating income is mainly composed of two major sectors of electronic process equipment and electronic components, accounting for 80% and 19% of total sales in 2020, respectively. In order to continue to maintain technological innovation and new product iteration, the company has been increasing investment in product research and development for many years, and the company's R&D investment reached 1.6 billion yuan in 2020, an increase of 37% year-on-year, accounting for 27% of operating income.

North Huachuang has strong strength in the field of PVD equipment. The company has eVictor AX30 Al pad, exiTin H630 TiN and other 13 PVD equipment products with independent intellectual property rights, supporting 90nm -14nm multiple process manufacturing, mainly used in integrated circuits, advanced packaging, LED and other fields, 2012 has achieved more than 200 equipment sales. The exiTin series TiN metal hard mask machine has become a standard process machine for metal wiring hard mask in the back section of the 28nm process, and has entered the international supply chain system to achieve stable mass production. At the same time, the company has core technology advantages in key technologies such as sputter source design, plasma generation and control, particle control, chamber design and simulation.

In terms of CVD, the company has a layout in PECVD, APCVD, LPCVD, ALD and other equipment. Among them, horizontal PECVD is one of the important technologies independently developed by North Huachuang, which has successfully entered overseas markets and is widely used in the photovoltaic field. The dielectric membrane deposition PECVD equipment developed by the company has also been favored by major manufacturers in the LED field. In terms of ALD products, the company currently has two series of products, thermal atomic layer deposition (Thermal ALD) equipment and plasma enhanced atomic layer deposition (PEALD) equipment, and has successively broken through a number of key technologies such as precursor transportation system control, uniform and stable reaction chamber thermal field and flow field control, plasma generation and control, and rapid frequency modulation matching of pulsed radio frequency. At present, the company's ALD equipment has achieved equipment sales for some customers.

3) Zhongwei Company – MOCVD equipment is highly competitive in the LED field

Zhongwei Company focuses on the production, research and development and sales of key manufacturing equipment for integrated circuits and LED chips, and its main products include etching equipment and MOCVD equipment. In 2021, the operating income of Zhongwei Company was 3.11 billion yuan, an increase of 37% year-on-year, the gross profit was 1.34 billion yuan, an increase of 56% year-on-year, the net profit attributable to the mother was 9.5-1.03 billion yuan, an increase of 93-109% year-on-year, and the amount of newly signed orders was 4.13 billion yuan, an increase of more than 90% year-on-year. In recent years, the company has continued to increase R&D investment, with R&D expenditure reaching 640 million yuan in 2020, accounting for 28% of revenue.

Semiconductor equipment industry special report: thin film deposition equipment, benefiting from the increase in localization rate

Focusing on MOCVD devices, the LED field has significant advantages. In the field of thin film deposition equipment, the company mainly focuses on MOCVD equipment (metal organic chemical vapor deposition), which can be applied to the production and manufacture of EPITAXs of POWER DEVICEs such as LEDs and GaN and SiC. The company currently has four major MOCVD equipment products, of which Prismo D-Blue and Prismo A7 equipment are used in mainstream LED production lines, which can support the production of high-volume LED epitaxial wafers, with high capacity, high yield, low cost investment and other advantages, breaking the monopoly position of German Aixtron and The United States Veeco in China's MOCVD market. According to Aixtron and Gartner data, Zhongwei has a global MOCVD market share of 16% in 2020, second only to Aixtron and Veeco.

MiniLED MOCVD equipment has been ordered by leading customers in China. The Prismo UniMax MOCVD equipment with independent intellectual property rights can be configured with up to 4 reaction chambers to simultaneously process 108 4-inch or 40 6-inch high-performance gallium nitride-based blue-green Mini LED epitaxial wafers, which can be adjusted by graphite discs to simultaneously process 164 4-inch or 72 6-inch epitaxial wafers, and its process capability can also be extended to 8-inch epitaxial wafers. Each chamber can be controlled independently, and this innovative design offers exceptional production flexibility. The micro Prismo UniMax MOCVD device is equipped with a novel local temperature compensated heating system designed for mass production of high-performance miniLED with excellent output wavelength uniformity and output stability. In addition, the Prismo UniMax MOCVD device is equipped with a 785mm large-diameter graphite tray, which greatly increases the equipment capacity and effectively reduces the production cost of miniLED epitaxial wafers. At present, the equipment has received orders from leading customers in China, and at the same time, the company is working with more customers to evaluate the equipment. The performance and complexity of Prismo UniMax devices have increased a lot, providing more value to users, and the gross profit margin has increased significantly.

(This article is for informational purposes only and does not represent any of our investment advice.) For usage information, see the original report. )

Featured report source: [Future Think Tank].

Read on