laitimes

350 million euros each!ASML presents High NA EUV lithography machine: large-scale commercial use in 2026

350 million euros each!ASML presents High NA EUV lithography machine: large-scale commercial use in 2026

350 million euros each!ASML presents High NA EUV lithography machine: large-scale commercial use in 2026

In addition to Intel, which has taken the lead in obtaining the world's first High NA EUV lithography machine, TSMC and Samsung's order for High NA EUV is expected to be in place as soon as 2026, when High NA EUV will become a necessary "weapon" for the world's three major wafer fabs to achieve large-scale mass production of advanced processes below 2nm.

Monique Mols, a spokesperson for ASML, said during a media tour of the headquarters that a High NA EUV lithography system is the size of a double-decker bus and weighs up to 150 tons, which is equivalent to two Airbus A320 airliners, and the complete system requires 250 cargo boxes to ship, and the installation time is expected to require 250 engineers and six months to complete, which is not only expensive but also time-consuming. According to previous leaks, the price of the High NA EUV is as high as 350 million euros.

Monique Mols explains: "We are constantly engineering and developing, and there is still a lot of work to be done to calibrate it and make sure it fits into the manufacturing system. "We and our customers also have a steep learning curve. ASML is also expected to ship "some" (High NA EUV systems) this year and there is still work to be done in terms of customization and installation.

350 million euros each!ASML presents High NA EUV lithography machine: large-scale commercial use in 2026

Peter Wennink, CEO of ASML, said that AI requires a lot of computing power and data storage, which would not be possible without ASML, which is also a major driver of the company's business. ASML also received a record number of orders for EUV equipment last quarter.

Intel took the lead in acquiring the world's first High NA EUV lithography machine in December 2023 and has already begun installation at Intel's Oregon fab. Previously, it was expected that the device would be used by Intel for mass production of its most advanced Intel 18A process, however, Intel CEO Pat Gelsinger announced at the earnings conference that Intel 18A is expected to be manufacturing-ready in the second half of 2024, but it is not mass production with High NA EUV, and the device will be used in challenges below 1.8nm.

350 million euros each!ASML presents High NA EUV lithography machine: large-scale commercial use in 2026

In addition to Intel, major foundries such as TSMC and Samsung are slower than Intel in the procurement of High NA EUV equipment. The industry pointed out that since the price of the High NA EUV lithography machine is twice that of the current EUV lithography machine, this also means that the cost of the equipment will increase significantly, because the 2nm that will be mass-produced next year can still rely on the existing EUV lithography machine to complete, and the cost will not increase significantly, which is also the key for TSMC and Samsung not to rush to introduce the High NA EUV lithography machine.

Industry insiders speculate that TSMC is expected to introduce the High NA EUV exposure machine at 1.4nm (A14) at the earliest, which means that the news of purchasing equipment is expected to come out in 2025, and if the 1.4nm mass production time previously released by TSMC will fall under the 2027-2028 plan, TSMC's High NA EUV exposure machine delivery time may fall in 2026 to start delivering.

However, what is certain is that ASML's High NA EUV lithography machine has become an essential weapon for major wafer manufacturers such as Intel, TSMC and Samsung to enter the advanced process below 2nm, but the timing of mass adoption is different.

In fact, after entering below 7nm, TSMC began to introduce EUV lithography equipment, because the number of reticle exposure layers has increased significantly, and the accuracy of aperture repeated alignment is required to be higher and higher under the demand for repeated exposure of at least 20 layers or more, which also makes EUV lithography machine a must-have equipment, which can not only improve yield, but also reduce production costs.

For the High NA EUV system, ASML has also previously stated that its first-generation High NA EUA (EXE:5000) has a resolution of 8nm, which can enable chipmakers to streamline their manufacturing processes. And, the EXE:5000 can lithography more than 185 wafers per hour, an increase over the NXE systems already used in high-volume manufacturing. ASML has also laid out a roadmap to increase production capacity to 220 wafers per hour by 2025. This productivity is essential to ensure that the integration of high numerical aperture into chip factories is economically viable for chip manufacturers.

However, Jeff Koch, an analyst for semiconductor equipment and manufacturing analysis at semiconductor research firm SemiAnalysis, said: "While some chipmakers may launch it earlier in an attempt to gain technological leadership, most chipmakers won't adopt it until it makes economic sense." "Customers have the option to wait and get more out of their existing tools. Through his calculations, Jeff Koch says that it will only become cost-effective after a massive switch from older technologies around 2030-2031. In addition, "it is expected that ASML may have sufficient High NA EUV capacity after the cutting-edge fabs that are in production in 2027-2028 are fully adopted by the leading-edge logic process." ”

Jeff Koch, who originally worked at ASML, recently published an article titled "ASML Dilemma: High-NA EUV is Worse Than Low-NA EUV Multi-modality", pointing out that the existing Low-NA EUV system has a cost advantage over High NA EUV through dual patterning technology!

However, ASML CEO Peter Wennink responded in January that analysts may be underestimating the technology. "Everything we're seeing in our current discussions with our customers is that High NA EUV is more economical. ”

Greet Storms, ASML's head of product management for High NA EUV, said on Friday that the inflection point will come around 2026-2027.

Editor: Xinzhixun-Rogue Sword

Read on