天天看点

SDC设计约束(4)——其他SDC命令SDC设计约束(4)——其他SDC命令

SDC设计约束(4)——其他SDC命令

作者:夏风喃喃
参考:综合与时序分析的设计约束 —— Synopsys设计约束(SDC)实用指南
           

文章目录

  • SDC设计约束(4)——其他SDC命令
    • 一. 工作条件
      • set_operating_conditions(指定工作条件)
    • 二. 单位
      • set_units(指定单位标识)
    • 三. 设计范围
      • current_instance(指定当前设计或实例)
    • 四. 导线载荷模型
      • set_wire_load_model(指定线负载模型)
    • 五. 面积约束
      • set_max_area(指定面积约束)
    • 六. 功率约束
      • 6.1 set_voltage(指定电压约束)
      • 6.2 creat_voltage_area(指定电压岛)
      • 6.3 set_level_shifter_strategy/threshold(指定电平移位器)
      • 6.4 set_max_dynamic/leakage_power(指定动态、静态功耗)

一. 工作条件

set_operating_conditions(指定工作条件)

set_operating_conditions
	[-library lib_name]		#指定工艺库
	[-analysis_type]		#应执行的分析类型,最慢,最快,基于芯片变异性的延迟
	[-max max_condition]	#该工作点应考虑执行最慢的分析,如-max WCMIL
	[-min min_condition]	#该工作点应考虑执行最快的分析,如-min BCIND
	[-max_library max_lib]	#指定最慢的库
	[-min_library min_lib]	#指定最快的库
	[-object_list objects]	#设计对象,通常为整个设计,故很少使用
	[condition]				#工作条件
           

二. 单位

set_units(指定单位标识)

该命令仅作为表示用户意图的文档,工具会检查正在使用的库中单位,并标记库中单位与命令指定单位是否存在不匹配。

set_units
	-capacitance cap_unit
	-resistance res_unit
	-time time_unit
	-voltage voltage_unit
	-current current_unit
	-power power_unit
           

三. 设计范围

current_instance(指定当前设计或实例)

设计范围更改为current_design中的实例,但返回名称是相对于current_design的。

四. 导线载荷模型

set_wire_load_model(指定线负载模型)

set_wire_load_model
	-name model_name	#指定库中的模型,如WIRE_LOAD_70×70
	[-library lib_name]	#指定库名称
	[-min][-max]		#指定模型运用的条件,一般不用
	[object_list]		#一般不用,而用current_design指定范围
           

五. 面积约束

set_max_area(指定面积约束)

set_max_area area_value
           

六. 功率约束

6.1 set_voltage(指定电压约束)

set_voltage
	[-min min_case_value]				#指定最小延迟对应的最大电压
	[-object_list list_of_power_nets]	#指定电压下的电源网表
	max_case_voltage					#指定最大延迟对应的最小电压
           

6.2 creat_voltage_area(指定电压岛)

creat_voltage_area
	-name name							#电压岛名称
	[-coordinates coordinate_list]		#指定保持电压岛的矩形区域
	[-guard_band_x float]				#沿x轴距离,电压岛间缓冲区,不放单元
	[-guard_band_y float]				#沿y轴距离,电压岛间缓冲区,不放单元
	cell_list							#电压岛中单元列表
           

6.3 set_level_shifter_strategy/threshold(指定电平移位器)

#指定何时插入电平移位器的策略
set_level_shifter_strategy [-rule rule_type]
#指定插入电平移位器的最小电压差阈值
set_level_shifter_threshold [-voltage float][-percent float]
           

6.4 set_max_dynamic/leakage_power(指定动态、静态功耗)

#指定动态功耗最大值
set_max_dynamic_power power [unit]
#指定泄露功耗最大值
set_max_leakage_power power [unit]
           

继续阅读