laitimes

Samsung 3nm chip yield exposure, TSMC is stable again?

"This article is original, plagiarism is prohibited, and violators must be investigated"

Chip shortage as a hot topic in the world, has attracted widespread attention, the current world's top two chip foundries are not TSMC (TSMC) and Samsung Electronics (Samsung).

Samsung 3nm chip yield exposure, TSMC is stable again?

As the world's largest chip foundry, TSMC has a market share of 56% in chip foundry. As the second oldest, Samsung Electronics has always wanted to surpass TSMC in terms of business and chip manufacturing technology.

The current chip competition is essentially a dispute over advanced chip processes. Whoever can first grasp the higher-end process technology will have a higher voice and receive more orders. At present, TSMC and Samsung have mastered the mass production process of 5nm process chips.

Now both are moving towards higher process 4nm, 3nm process. Samsung's Orbit gate (GAA) process officially began to compete with TSMC's Fin Field Effect Transistor (FinFET) architecture. The strength and weakness between the two are also widely concerned in the industry, after all, this is closely related to who they will give their future chip foundry orders.

Samsung 3nm chip yield exposure, TSMC is stable again?

Samsung 3nm chip yield exposure

According to the news released by Samsung, it is likely to achieve mass production of the 3nm process this year. In June 2021, Samsung took the lead in successfully realizing the tape-out of the 3nm process chip in TSMC.

For 3nm chips, according to Samsung Fang, the 3nm chip based on its own GAA process has a logic area efficiency of more than 45% higher than that of the 7nm process, a 50% reduction in power consumption, and an overall performance increase of about 35%. From the perspective of paper parameters, it has more advantages in performance and stability than the chip produced by TSMC based on the 3nm FinFET architecture.

According to South Korean media reports, Samsung has planned to start construction of a 3nm fab at its P3 plant in Pyeongtaek, South Korea, from June to July, and the equipment will be introduced soon.

Samsung 3nm chip yield exposure, TSMC is stable again?

Just when Samsung thought that it would surpass TSMC in the 3nm process to win back a city, the problem of the yield rate of the 3nm process was not high.

Originally, Samsung planned to implement the mass production of the 3nm process this year, but according to DIGITIMES, Samsung's 3nm GAA process yield has just reached between 10% and 20%, accounting for only 20% at most. Even the yield of the 4nm process is not satisfactory, less than four layers, only 30%-35%.

It seems that Samsung's plan to mass-produce the 3nm process this year is in vain. Some insiders said that Samsung's first-generation 3nm GAA process is unlikely to be adopted by external customers, and the initial mass production may only be used for the production of its own products. Regarding the yield ratio of the GAA process, Samsung said it expects to introduce the second generation of 3GAP technology (3 nanometer process) in 2023.

Samsung 3nm chip yield exposure, TSMC is stable again?

Samsung got up early in the morning and rushed to a late set. The main reason is because when Samsung just developed the 3nm chip, the 4nm process; the yield rate has gone wrong again, resulting in Samsung spending most of its time and energy on the maintenance and improvement of the 4nm process in the past year. This directly led to the delay in the development of the 3nm process.

In addition to the problem of insufficient yield in the 3nm process, there is also negative news that the yield rate of the 5nm and 4nm processes has been forged and falsely reported, and Qualcomm's original order was transferred to TSMC because of the yield rate problem.

TSMC is stable again

For the "old problem" yield rate problem that has appeared again in Samsung, industry insiders said that this time it will be overtaken by TSMC.

Samsung 3nm chip yield exposure, TSMC is stable again?

According to the Economic Daily, TSMC President Wei Zhejia said at the legal conference that the production of the 3-nanometer process will be carried out in the second half of 2022. At that time, the revenue contribution of the 3nm process will become a big growth node equivalent to 5nm and 7nm.

According to TSMC's Q1 2022 financial report, TSMC's total revenue in the first quarter reached NT$491.076 billion. Among them, the 5nm process and the 7nm process accounted for up to 50% of the total sales in the quarter. Among them, the 5nm process accounts for 20%, and the 7nm process accounts for 30%.

"Lianhe Bao" news reported that based on the 3nm advanced process "N3B", TSMC will carry out synchronous film delivery in August at the eighth phase of the R&D center of Hsinchu 12 factory and the P5 plant of Nanke 18 to achieve mass production of the 3nm process. The initial production is expected to reach between 40,000 and 50,000 pieces per month.

Samsung 3nm chip yield exposure, TSMC is stable again?

Soon, a more advanced variant of the "N3E" process will be developed, and the new process is expected to enter production next year. Especially when it is learned that Samsung's 3nm process has a mass production problem, TSMC will speed up this pace in order to promote orders from several companies in the personal computing industry.

In addition to the 3nm process, TSMC has also made a breakthrough in the 2nm process. TSMC has developed its own 3D Fabric technology (3D packaging technology), the highest can develop a chip with a maximum accuracy of 2nm, TSMC CEO Wei Zhejia said that it will strive to achieve mass production of the 2nm process in 2025.

conclusion

As can be seen from the above, the current process technology is still superior to TSMC. However, from the current technical development point of view, Samsung is still the only wafer foundry company that can closely follow TSMC. From this point of view, Samsung's strength is still not to be underestimated.

Samsung 3nm chip yield exposure, TSMC is stable again?

Next, Samsung's 3nm node will be more aggressive, abandoning the FinFET transistor process and vigorously developing the GAA transistor process. At present, Samsung's chip manufacturing business unit aims to beat TSMC and win the title of "World No. 1" in the 3nm GAA field.

But according to data from Broad Securities, as of 2020, Samsung has only 7,000 to 10,000 patents in chip manufacturing, but TSMC, which is regarded as a competitor, already has 35,000 to 37,000 patents. Therefore, the industry generally believes that Samsung's 3nm related patents are still relatively lacking.

Therefore, it remains to be seen whether Samsung can satisfy customers in the performance and capacity of the 3nm process.

What do you think about Samsung's goal of surpassing TSMC? Feel free to leave your own insights in the comments section.

Read on