天天看點

【Quartus II】-Modelsim仿真lpm_counter(20190515)【一、問題描述】【二、解決方法】

菜鳥學習,大神拍磚,一入HDL深似海

【一、問題描述】

使用Altera子產品(也叫做IP)來幫助進行設計不用想也是很友善的事情,參數化的編輯即可使用。Altera或其他第三方IP提供公司一般提供兩類IP:免費的和要錢的,付費的就不提了。免費的一般是功能基礎的LPM宏功能子產品,通用性很強,比如計數器、乘法器、FIFO、RAM等。現在要設計一個正弦波信号發生器(這裡隻做産生設計,後續接DAC即可),使用子產品化+頂層原理圖設計,包含一個向上計數器和64位元組單元ROM。分頻部分自己編寫。

1.建立原理圖檔案,設為頂層檔案;使用MegaWizard Plug-In Manager調用相關子產品(LPM_COUNTER、ROM:-1-PORT),這裡不予贅述。

2.Modelsim不支援原理圖檔案(.bdf),可在Quartus II中轉為(.v)檔案。之後我碰到了問題(兩天未解決,求拍磚),我想在Modelsim中建立工程後直接仿真,按照網上辦法,我把testbench檔案、SIN_WAVE.v(轉換後的頂層檔案)、Counter.v及ROM.v(參數化子產品生成的HDL檔案),包括altera_mf.v、lpm_counter.v、dffep.v這三個庫檔案加入工程,最後仿真沒有報錯,但波形就是不對。不知道是缺少庫檔案,還是Modelsim哪設定不對。。。

【Quartus II】-Modelsim仿真lpm_counter(20190515)【一、問題描述】【二、解決方法】

                                              圖1-計數器無輸出

【二、解決方法】

我懷疑是設計有問題,但使用SignalTap II分析後确認無誤,最後我還是按照聯合仿真的方法,由于不支援原理圖檔案,就用轉換後的頂層檔案直接替代,在Quartus II中啟用Modelsim仿真,波形仿真

【Quartus II】-Modelsim仿真lpm_counter(20190515)【一、問題描述】【二、解決方法】

                                              圖2-仿真正确