天天看點

EDA軟體_Cadence_Allegro 16.6 PCB的建立流程

手工建立PCB

建立工程

File --> New...   --> [Project Directory] 顯示工程路徑   --> [Drawing Name] 工程名稱,Browse...可選擇工程路徑   --> [Drawing Type] 工程類型,繪制PCB闆選擇Board,封裝選擇Package symbol

設定繪圖參數

分别設定使用機關、圖紙尺寸、機關精度、工作區域(其中Left X和Lower Y表示左下角坐标,設定為負值使坐标原點位于工作區域内部) 機關換算 1mil = 0.0254 mm 1mm = 39.3701 mil 預設情況下我們更傾向于使用mil機關繪制PCB闆。 Setup --> Design Parameters...   --> [Design]       機關為Mils,Size為other,2位精度,       Width與Height分别代表畫布的寬高       LeftX與LowerY代表原點位置坐标   點選Apply使修改生效

建立闆外框

Add --> Line   Class:SubClass = Board Geometry:Outline

設定格點

Setup-->Grids…       勾選Gridon, 打開SetupGrids...       将Non-Etch和AllEtch中的所有Spacing設為1mil=0.0254mm

添加倒角

Manufacture-->Drafting 其中Fillet(圓形),Chamfer(直線)。 選擇添加圓形倒角:option中Radius(倒角大小)設定為100mil,滑鼠分别點選需要添加倒角的兩條線,添加完成。 如尺寸大小不确定,可PCB設計完成後添加。

放置安裝孔

Place --> Manually   --> [Advanced Settings] 勾選Library   --> [Placement List]       --> [Mechanical symbols] 選上需要使用的機械安裝孔,敲坐标放置

設定允許擺放區域

Setup --> Areas --> Package Keepin   Class:SubClass = Package Keepin:All   一般情況, Package Keepin距離闆框0.2mm(8mil)~0.5mm(20mil)

  方法2:使用Z-Copy指令

設定允許布線區域

Setup --> Areas --> Route Keepin   Class:SubClass = Route Keepin:All   一般情況, Route Keepin 與 Pacakge Keepin 大小一緻     方法2:使用Z-Copy指令,Edit-Z-Copy       選擇Class:SubClass=Route Keepin:All,       Size選擇Contract向内縮進,Offset填充20mil,

設定禁止布線區域

Setup --> Areas --> Route Keepout   Class:SubClass = Route Keepout:All 根據具體設計需求,繪制禁止布線區域

布局布線

設定層疊結構

Setup --> Cross-section

  雙層闆按預設設定,從上到下依次為:表層空氣,銅走線Top層,玻璃纖維媒體層,銅走線Bottom層,底層空氣   多層闆需要做相關層添加[FIXME]  

導入網表

File --> Import -->Logic...   --> [Cadence] 選擇Designentry CIS(Capture),Always,Importdirectory選擇網表檔案路徑   導入完成後File--> Viewlog...檢視導入錯誤資訊,確定0 errors,0warnings  

放置元器件

Place --> QuickPlace...   選擇Placeall components,點選place完成自動放置   檢查Unpalcedsymbol count顯示狀态,确認未放置的元件為0     注:有關元器件突出闆框外的KC DRC問題 <--- 删除該DRC       Display --> Waive DRCs --> Waive指令,點選DRC删除即可。  

限制設定

Setup --> Constraints -->Constraints Manager...   --> [Physical]       --> [Physical Constraint Set]           --> [All Layers]               線寬設定為>=6mil,添加過孔(小于6的非0值都設為6或更大)       --> [Net]           --> [All Layers]               電源與地網絡設定至少30mil,大功率大電流網絡也設定大些   --> [Spacing]       ... 設定線間距、VIA間距等,都至少設為6mil,6mil是根據PCB廠家定的  

布局布線

  接插件(如DB9、JTAG接口、電源接口等)放在PCB闆周邊。     布線時輕按兩下添加過孔,Options中Act可改變目前PCB面,Linewidth設定線寬;   [Route] --> [PCB Router] --> [Route Automatic…]可自動布線。  

後處理

添加絲印

  (1)自動添加絲印       Manufacture --> Silkscreen         --> [Layer] Both         --> [Elements] Both         --> [Classes and subclasses]         --> [Package geometry] Silk         --> [Refrence designator] Silk         ... 其它選擇None   點選Silkscreen完成絲印添加   (2)手動添加絲印資訊       --> Add --> Text       Class:Subclass=Manufacture:AutoSilk_Top       設定字号及線寬後輸入文字資訊     注:絲印字号修改,Edit--> Change,Find中選隻Text,       Class:subclass=Manufacture:空       設定字号線寬,全選後Done即可  

添加覆銅

Shape --> Polygon   Class:Subclass=Etch:Top   Option中勾選上CreateDinamic Shape,選擇Assign netname為Gnd網絡     添加底層覆銅,Class:Subclass=Etch:Bottom     删除頂層和底層死銅,Shape--> Delete Islands,Delete allon layer  

檢視報告

Tools --> Quick Reports   至少檢查如下4項:   Unconnected Pins Report   Shape Dynamic State   Shape Islands   Design Rules Check Report  

資料庫檢查

Tools --> Database Check   勾選全3項,點選Check檢查,Viewlog檢視錯誤日志  

鑽孔檔案生成

  (1) 鑽孔參數檔案生成,Manufacture--> NC --> NC Parameters   按預設設定,點close後生成nc_param.txt     (2) 鑽孔檔案生成,Manufacture--> NC --> NC Drill   如果有盲孔或埋孔,則Drilling中選擇By Layer,否則預設,   點Drill生成*.drl檔案,點選Viewlog檢視鑽孔檔案資訊     (3) 不規則孔的鑽孔檔案生成,Manufacture--> NC --> NC Route   預設設定,點選Route生成*.rou檔案     (4) 鑽孔表及鑽孔圖的生成,Manufacture--> NC --> Drill  Legend   如果有盲孔或埋孔,則Drilling中選擇By Layer,否則預設(機關為mil),   點選OK生成*.dlt檔案  

生成光繪(Gerber)檔案

  (1) 設定光繪檔案參數   Manufacture--> Artwork       --> [General Parameters]           --> [Device type] Gerber RS274X           --> [OUtput units] Inches           --> [Format]               --> [Integer places] 3               --> [Decimal places] 5       --> [Film Control] 設定層疊結構(10層)           -->[Available films]               --> [Bottom]                   --> ETCH/Bottom                   --> PIN/Bottom                   --> VIA Class/Bottom               --> [Top]                   --> ETCH/Top                   --> PIN/Top                   --> VIA Class/Top               --> [Pastemask_Bottom]                   --> PackageGeometry/Pastemask_Bottom                   -->Stack-Up/Pin/Pastemask_Bottom                   -->Stack-Up/Via/Pastemask_Bottom               --> [Pastemask_Top]                   --> PackageGeometry/Pastemask_Top                   -->Stack-Up/Pin/Pastemask_Top                   -->Stack-Up/Via/Pastemask_Top               --> [Soldermask_Bottom]                   --> Board Geometry/Soldermask_Bottom                   --> PackageGeometry/Soldermask_Bottom                   -->Stack-Up/Pin/Soldermask_Bottom               --> [Soldermask_Top]                   --> BoardGeometry/Soldermask_Top                   --> Package Geometry/Soldermask_Top                   -->Stack-Up/Pin/Soldermask_Top               --> [Silkscreen_Bottom]                   --> BoardGeometry/Silkscreen_Bottom                   --> PackageGeometry/Silkscreen_Bottom                   -->Manufacture/Autosilk_Bottom               --> [Silkscreen_Top]                   --> BoardGeometry/Silkscreen_Top                   --> PackageGeometry/Silkscreen_Top                   -->Manufacture/Autosilk_Top               --> [Outline]                   --> Board Geometry/Outline               --> [Drill]                   --> Board Geometry/Outline                   -->Manufacture/Nclegend-1-2           選中Checkdatabase before artwork複選框!           --> [Film options]               --> [Undefined line width]                   選中層疊結構中的每一層,都設定為6mil               --> [Shape bounding box]                   選中層疊結構中的每一層,都設定為100               --> [plot mode]                   選中層疊結構中的每一層,無特殊情況都選擇Positive               --> [Vector based pad behavior] 選中每一層都勾選上   點選OK完成參數設定     (2) 生成光繪檔案   Manufacture--> Artwork   仔細檢查層疊結構的設定,很重要,不能出錯!   Select all選擇所有層,确認選中Check database before artwork,   執行CreateArtwork生成光繪檔案,點選Viewlog檢視生成光繪資訊,確定沒有任何error!  

打包Gerber檔案給PCB廠商

  共14個檔案:10{*.art}+ 1{*.drl} + 1{*.rou} + 2{*.txt}   TOP.art   Bottom.art   Pastemask_Top.art   Pastemask_Bottom.art   Soldermask_Top.art   Soldermask_Bottom.art   Silkscreen_Top.art   silkscreen_Bottom.art   Outline.art   Drill.art   art_param.txt   nc_param.txt   *.rou   *-1-2.drl   打包成*.rar等壓縮包發給廠商

參考文獻

《Cadence高速電路闆設計與仿真——原理圖與PCB設計》 http://jingyan.baidu.com/article/49ad8bce5401535834d8fa36.html http://blog.csdn.net/xiahouzuoxin/article/details/10541043

繼續閱讀