天天看点

中金 | 全球硬科技巡礼(一):日本半导体设备的成长之路

作者:中金研究
2016年至今,日本半导体设备指数的涨幅在三段时期明显高于日经225指数,分别为2016年1月至2018年2月(涨幅156%)、2019年1月至2021年12月(涨幅235%)、2022年9月至2024年3月(涨幅211%)。近一段上涨中,我们认为除了宏观因素和半导体复苏之外,还有日本政府鼓励半导体投资、AI浪潮利好产业链、日股估值偏低等因素。本文通过探究历史和当前全球格局的方式分析日本设备公司的投资价值。

摘要

日本半导体产业经历五十年起落。回顾历史,日本半导体产业在政府主导的资源集聚策略下,于80年代中后期达到顶峰,后因各种内外部因素逐渐衰落。目前日本半导体总产值降至全球第五,但在半导体设备、材料以及零部件领域仍维持在全球前列。

导体设备积淀深厚,多领域领先。1H23全球半导体设备TOP10中日本公司占据五席,其中东京电子(TEL)仅次于阿斯麦(ASML)和应用材料(AMAT)。日本在部分前道设备领域具备优势,2022年全球涂胶显影设备/清洗设备/热处理设备市场中日本企业占比92%/66%/44%,其余领域日本也占据10~30%不等的份额。后道设备领域,日本企业也占据了各赛道龙头地位,2022年全球切割减薄设备/测试设备/探针台/模塑设备市场中日本企业占比88%/56%/73%/65%。我们总结日本的强势领域具有“精工细作的碎片化市场”的特征,在50亿美元以下(全球)的细分市场中,擅长发挥规模效应的欧美厂商并不具备竞争优势,而日本厂商形成了“一家厂商引领一个领域”的格局。

全球设备投资额逐步复苏有望驱动日本厂商业绩趋向新高,其中后道设备厂商或进一步受益于AI对先进封装需求的拉动。全球半导体设备竞争格局相对稳定,厂商业绩主要受到下游晶圆厂/封测厂需求的影响,SEMI预计2024/2025年全球半导体设备销售额将同比增长5%/18%至1,053/1,240亿美元,我们认为日本设备厂商或为行业周期上行的核心受益者。同时,人工智能日益密集的计算需求加速先进封装技术的渗透,无论是工序数量的增加还是工艺难度的提升,都为中道和后道设备带来增量需求,我们认为后道设备龙头有望获得基本面和估值面的双轮驱动。

风险

全球晶圆厂资本支出下滑;公司产品研发能力减弱;地缘冲突加剧。

正文

本轮日本半导体设备缘何上涨?

2024年2月22日,日经225指数收39,099点,首次超越1989年38,957点的历史高点,并在3月22日盘中达到41,088点的新高。在本轮日本股市强势上涨的背景下,日本半导体板块(参照MSCI日本半导体与半导体设备指数)实现了突出的超额收益,2022年9月30日至2024年3月29日期间超额收益达到156%。

我们将2008年至今日本半导体指数的走势分为两段:第一段是2008年~2015年,日本半导体指数跑输日经225指数,主要与半导体产业周期相关;第二段是2016年至今,日本半导体指数显著跑赢日经225指数,凭借半导体设备、半导体材料、MCU、CIS等细分领域的强势地位,日本半导体行业在终端升级创新、全球产业链重构、AI驱动产业变革等趋势下展现了良好的发展前景。

2016年至今,日本半导体设备指数的涨幅在三段时期明显高于日经225指数,分别为2016年1月至2018年2月(涨幅156%)、2019年1月至2021年12月(涨幅235%)、2022年9月至2024年3月(涨幅211%)。

我们总结主要与全球半导体三轮小周期复苏带来的厂商业绩增长和估值上修有关。而在最近一段上涨中,我们认为除了宏观因素和半导体复苏之外,还有日本政府鼓励半导体投资、AI浪潮利好产业链、日股估值偏低等因素。

► 日本加大半导体产业投资并成立先进制造联合体,同时鼓励海外高端产能落地日本本土:2021年6月,日本经济产业省发布了第一版《半导体和数字产业战略》,目标是在2030年将日本半导体销售额提升两倍至15万亿日元,并推动2nm 计算芯片量产、NAND 内存高性能化等。2022年8月,丰田、电装、索尼、NTT、NEC、软银、铠侠、三菱UFJ银行合资成立Rapidus,目标是在北海道建成一座2nm晶圆代工厂并于2027年实现量产;随后,Rapidus先后在2022年11月、2023年4月、2024年4月获得了日本政府提供的700亿日元[1]、2600亿日元[2]、5900亿日元补贴[3]。此外,日本政府也大力支持海外晶圆代工龙头在本土建厂,如台积电于2021年11月宣布将和索尼合资设立晶圆代工子公司JASM[4],一厂计划将于4Q24开始生产12/16/22/28nm芯片,日本政府为其提供了最高4670亿日元的资金补贴,2024年2月台积电宣布增资扩建二厂[5],计划于2027年量产6/7/40nm芯片,日本政府表示将继续补贴7300亿日元[6],两座工厂合计获得的资金补贴占到总投资成本的40%;三星也于2023年12月宣布将在未来五年内投资400亿日元在日本设立先进封装工厂[7],日本政府将为其提供200亿日元的补贴。由此我们判断,来自日本本土的半导体上游生产要素的需求有望在未来几年保持较高增长,在日本相对紧密的产业关系下,日本半导体设备和材料厂商业绩有望达到新高,推升股价上涨。

► AI芯片主要采用先进制程制造,日本部分企业在设备和材料端有突出地位:若从个股来看,日本半导体设备厂商的股价较早开始上涨。TEL作为日本前道设备的龙头,2023年上半年股价走势与AMAT、LAM类似,2023年下半年以来成为海外(相对于日本本土)人工智能相关资金流入的核心受益者,股价跑赢AMAT、LAM。后道设备中,Advantest、DISCO等日本厂商的主营业务(测试机、减薄机等)需求均受到AI的较大推动,自2023年4月起就展现了远超美国后道龙头的涨幅。

► 日股较低的估值吸引投资人关注:根据MSCI,2016年至2022年日本半导体指数的市盈率基本高于美国半导体指数,而2023年上半年日本半导体指数的市盈率降至美国半导体指数以下且估值差持续扩大,我们认为主因2023年AI相关投资趋势下,美国半导体龙头标的(主要在芯片设计领域)成为全球二级投资者的优先选择,估值率先提升。2023年日本与美国半导体指数的估值差最高达到10x P/E左右,较低的估值使得日本半导体板块受到关注。

图表:日本半导体指数与日经225指数、美国半导体指数对比

中金 | 全球硬科技巡礼(一):日本半导体设备的成长之路

注:左图取2008/02/29为基数100,右图取2016/01/29为基数100,计算相对涨跌幅

资料来源:Bloomberg,中金公司研究部

图表:日经225指数、日本半导体指数、美国半导体指数的估值情况(P/E TTM)

中金 | 全球硬科技巡礼(一):日本半导体设备的成长之路

资料来源:Bloomberg,中金公司研究部

图表:TEL、AMAT、LAM股价走势

中金 | 全球硬科技巡礼(一):日本半导体设备的成长之路

注:取2023/01/01为基数100,计算相对涨跌幅

资料来源:Wind,中金公司研究部

图表:Advantest、DISCO、KLA股价走势

中金 | 全球硬科技巡礼(一):日本半导体设备的成长之路

注:取2023/01/01为基数100,计算相对涨跌幅

资料来源:Wind,中金公司研究部

日本设备股股息率较高。我们对比日本和欧美的半导体设备公司发现,日本设备股的特点在于股息率较高。净利率方面,日本半导体设备厂商整体不及欧美厂商,我们认为一方面由于日厂在部分领域处于全球第二/第三位置,毛利率略低于欧美厂商,另一方面由于日本企业税负较高;但个别在利基市场“精工细作”的厂商的盈利能力接近欧美厂商,如Disco、Lasertec。相对较低的净利率以及保守的财务杠杆率导致日本厂商的ROE普遍低于欧美厂商。但日本厂商的优势在于股息率高于欧美厂商。随着最近一轮海外资金涌入推升日本设备股股价,当前日本设备股的市盈率已达到了接近或超过欧美设备股的水平。

图表:日本和欧美半导体设备公司图谱

中金 | 全球硬科技巡礼(一):日本半导体设备的成长之路

注:1)财务数据换算按照JPY/USD=140.49,EUR/USD=0.92;2)财务数据由Capital IQ调整至2023年自然年;3)更新时间截至2024/5/7

资料来源:Capital IQ,Wind,中金公司研究部

回顾:日本半导体产业发展历程

20世纪70-80年代,政府的支持推动了半导体产业快速发展

20世纪70-80年代,作为美国半导体技术的追赶者,日本半导体行业在日本通商产业省(以下简称通产省,现经济产业省)的扶持下发展迅猛;VLSI(超大规模集成电路计划)带来完整半导体产业链。1976年,日本政府组织日本电气、日立、三菱、富士通和东芝以及日本通产省的电气技术实验室、电子技术综合研究所、日本电信电话公社联合研究开发,设立“VLSI技术研究所”。“VLSI”分为联合研究室和小组实验室,旨在全面突破技术上下游和关键环节。联合研究室中,第一、二、三研究室负责半导体装备,第四研究室负责半导体材料,第五研究室负责光刻工艺,第六研究室负责封装测试[8]。小组实验室主要由计算机综合研究所(CDL)和日电东芝信息系统实验室(NTIS)构成,分散在相关公司内部,进行应用技术研究。这使得日本在当时建立了完善独立的半导体产业体系,拥有较高技术自主性。

同时,通产省控制外汇配给、外资准入、信贷等金融工具,放大了“VLSI”等产业政策的效果。80年代前,日本通产省通过设置关税壁垒等贸易保护政策,使得当时包括半导体产业在内的幼稚产业免受海外巨头冲击。此外,通产省对日本银行业实施影响力,1991年日本经济泡沫之前,日本商业银行基本上将其所有存款进行放贷,以支持包括半导体在内的关键产业发展,这使得日本半导体公司理论上只要所赚取的利润可以支付债务的利息,就可以维持相对较高的资产负债比率来快速扩张业务。通过政府制定产业发展计划,优化资源配置,加强知识产权管理,建立利益分配机制,日本半导体行业优质资源聚集,企业研发积极性提升,从而驱动企业快速成长。

图表:VLSI组织架构

中金 | 全球硬科技巡礼(一):日本半导体设备的成长之路

资料来源:《日本VLSI项目的经验和启示》(2013年7月,董书礼和宋振华),中金公司研究部

DRAM(动态随机存储器芯片)作为最大推手,推动日本半导体产业在80年代中后期达到顶峰。在日本政府扶持下,从70年代末日本推出256K DRAM开始,日本半导体企业开始快速成长,产品抢占全球市场。到80年代中期,日本DRAM产品在全球市场所占的份额接近80%,日本集成电路产品占美国市场的30%,尖端半导体产品占90%。1986-1989年,全球前三大半导体厂商均为日本企业,分别为NEC、东芝、日立;前十大厂商中有6家是日本公司(另三家为富士通、三菱电机、松下)。

图表:日本半导体产业在80年代中后期达到顶峰,后逐渐衰落

中金 | 全球硬科技巡礼(一):日本半导体设备的成长之路

资料来源:Gartner,IC Insights,中金公司研究部

《日美半导体协议》以及多种内外部因素导致日本半导体发展放缓

随着美国DRAM份额被日本赶超,美国计划采取措施反制。由于日本在技术层面已经做好了较为充足的储备,美国较难通过限制关键技术出口来制裁日本。1985年,美国政府与日本签订《日美半导体协议》,从关税和市场准入方面限制和打击日本。

《日美半导体协议》包括2个目标:(1)消除日本企业以低于成本的价格在美国和第三国市场销售半导体的行为;(2)消除日本市场对美国半导体产品销售造成限制等不公平贸易行为;和3个措施:(1)“数值目标”:美国半导体产品在日本市场中所占比重必须达到20%;(2)“最低限价”:日本减少对美国半导体出口,在美国市场的销售价格不得低于生产成本;(3)专利保护:完善知识产权保护制度,实现对美国专利的有效保护。

日本半导体企业通过调整经营方式和海外布局等措施积极应对《日美半导体协议》带来的影响。面对《日美半导体协议》带来的负面影响,日本半导体企业选择:(1)调整经营方式,进一步加深母企业和下属小企业的合作关系,降低生产成本;(2)扩大海外生产,合理布局产业链,例如通过布局美国扩大在美产品销售,布局中国和东南亚降低劳动力成本;(3)调整产品销售策略,扩大高附加值产品的占比。

日本在《协议》后虽然采取了一系列应对措施,但仍产生了直接负面影响。“最低限价”使得客户转向中国台湾、韩国等价格更有优势的市场,导致日本企业份额、销售额和盈利能力下降,1992年(价格监管的最后一年)东芝、日立等综合电机企业的净资产收益率降至0~5%区间,财务状况的恶化造成设备投资的间断,阻碍半导体产品的迭代。1999年,全球半导体前十大厂商中日本企业仅有3家,其中NEC、东芝、日立分列第二、三、七位。

图表:东芝、日立、富士通、夏普净资产收益率下降

中金 | 全球硬科技巡礼(一):日本半导体设备的成长之路

资料来源:《<日美半导体协议>冲击下的日本半导体产业发展研究》(2020年1月,田正),中金公司研究部

图表:《日美半导体协议》对日本半导体产业的影响

中金 | 全球硬科技巡礼(一):日本半导体设备的成长之路

资料来源:《日本半导体产业发展得失以及对中国的启示》(2018年6月,李浩东),中金公司研究部

90年代末至今,一系列内外部因素导致日本半导体市场份额持续衰落。(1)坚持一体化生产模式,研发创新落于分工模式。全球半导体细化分工的趋势在80年代末期初见端倪,分工模式下设计端和制造端的技术迭代速度大大加快,也推动终端产品频繁升级,而日本电机企业固守一体化生产模式,研发效率相对低下,难以灵活应对市场需求。尽管90年代末开始大集团因种种原因陆续将半导体业务剥离,如日立、NEC和三菱的DRAM业务合并成尔必达,富士通剥离半导体业务,东芝出售DRAM业务,但未能扭转日本份额衰落的趋势,且这些剥离的、新成立的公司借贷成本较高,且无法自主制定经营战略决策,最终面临破产或出售。(2)缺乏强大的硬件终端品牌。日本的DVD播放器、录音机、电子计算器等终端产品具有优势,为日本半导体产业发展提供了大市场,而随后兴起的个人电脑、智能手机等终端市场中鲜有日本品牌的身影,不像中、美、韩本土就有旺盛的半导体购买和创新需求。(3)资源过度集中导致技术路径一旦偏离可能会错过特定产业浪潮。80年代,通产省集中资源在超大规模集成电路、第五代电子计算机、高清电视(HDTV)等作为下一轮产业发展的重点,其中第五代电子计算机、高清电视等产品偏离了主流产业发展路径,造成日本电子企业错过信息技术革命浪潮。(4)政府降低补贴。进入90年代以后,日本政府逐渐降低了对日本半导体产业的支持,虽然经过一定程度的改善,2008年日本对半导体产业的扶持力度(免征企业所得税、设备折旧年限、设备投资减免税等)仍小于韩国和中国台湾。

2023年全球前十大半导体厂商中已无日本企业。

目前日本半导体产业在上游环节仍然维持了较强的竞争力

从总量上看,日本半导体产业在产业链上游的半导体制造设备和材料仍然维持了较强的竞争力。根据WSTS和IC Insights数据,2000-2022年,日本芯片的全球份额从28%降至9%。尽管日本综合电机企业和芯片产业的竞争优势不复从前,但20世纪电机企业支撑了一系列上游环节企业的发展壮大,且产业优势延续至今,包括半导体材料、设备以及元器件等领域,代表企业有东京电子、爱德万测试、信越化学、村田制作所等。

半导体设备的客户对于价格敏感性较低、行业技术壁垒较高、产品精密度要求高、对经验积累的依赖性强,这些因素对于擅长精工细作的日本半导体设备企业来说成为了优势。同时,这些日本半导体设备龙头也通过兼并收购,扩大业务版图,打造技术协同。例如东京电子于2000年收购美国晶圆清洗设备厂商Supercritical、于2012年收购美国先进封装厂商NEXX System、于2012年收购美国清洁和表面处理厂商FSI,持续增强自身在半导体制造领域的竞争力,至今稳居全球第三大半导体制造设备厂商的地位。

展望:日本半导体设备积淀深厚,多领域领先全球

日本在半导体设备、材料、零部件等细分行业仍保持着世界第一梯队水平。例如TEL的涂胶显影设备、Screen的清洗设备,半导体材料中SUMCO、Shin-Etsu的硅片和JSR、TOK、Shin-Etsu的光刻胶等等。在本篇报告中,我们将首先阐述半导体设备行业中日本企业具有优势的细分赛道。

根据CINNO Research[9],1H23全球前十大半导体设备厂商中有五家来自日本,分别为排名第三的东京电子(TEL),排名第七至十的爱德万测试(Advantest)、迪恩士(Screen)、日立高新(Hitachi-Hightech)、DISCO。

图表:1H23全球半导体设备厂商收入规模排名TOP10

中金 | 全球硬科技巡礼(一):日本半导体设备的成长之路

资料来源:CINNO Research,中金公司研究部

半导体制造工序分为前道和后道,对应使用前道和后道设备,其中,2022年全球半导体前道设备销售额为941亿美元,占所有设备的87.6%(根据SEMI[10])。半导体前道设备可以划分为光刻机、涂胶显影设备、刻蚀设备、薄膜沉积设备、清洗设备、CMP设备、热处理设备、离子注入设备、前道检测设备等种类。后道设备包括封装用的减薄机、切割机、贴片机、引线键合设备、模塑设备以及测试用的探针台、分选机、测试机等。

前道设备中,日本企业在各个种类均占有一席之地。根据Gartner 2022年数据计算,日本企业在全球涂胶显影设备/清洗设备/热处理设备市场中分别占据约92%/66%/44%的份额,主要由TEL和Screen两家公司主导。其次,日本相对具有优势的设备种类包括刻蚀设备(份额29%,TEL及日立高新)、CVD设备(份额25%,TEL及Kokusai)、CMP设备(份额23%,荏原制作所)、离子注入(份额16%,住友集团)。其余设备日本企业占比较小,但仍有尼康、佳能、日立高新、爱发科等企业。

日本前道设备企业可分为“超市型”和“专精型”。大部分企业均为“专精型”,如荏原专注CMP、日立高新专注前道检测、Lasertec专注光罩检测等。区别于上述厂商,TEL则和美国AMAT类似,定位“半导体设备超市”,旗下业务覆盖除光刻以外的大部分设备,且均占有较高的市场份额。

日本企业在应用液体、流体、粉末的设备种类上占比较高,相关工序均基于化学反应,而在使用光、电子束、等离子的设备种类上占比较低,相关工序主要依靠粒子迁移和机械运动来完成。

图表:全球半导体前道设备市场规模和份额

中金 | 全球硬科技巡礼(一):日本半导体设备的成长之路

注:除特殊说明外均为2022年数据;薄膜沉积、热处理、离子注入、前道检测竞争格局为2020年数据;热处理、离子注入市场规模为基于2020年数据等比例估算至2022年

资料来源:Gartner,Bloomberg Intelligence,中金公司研究部

后道设备中,日本企业基本拥有半数以上市场份额。DISCO和东京精密占据全球晶圆切割和减薄设备市场的88%份额,爱德万占测试机市场56%份额,东京电子和东京精密占探针台市场73%份额,TOWA和YAMAHA占模塑设备市场65%份额。芯片焊接设备日本企业占比较小,新川和芝浦机电合计市占率约不到10%。

图表:全球半导体后道设备市场规模和份额

中金 | 全球硬科技巡礼(一):日本半导体设备的成长之路

注:切割和减薄设备、测试设备为2022年数据;其余为2019年数据

资料来源:Gartner,Bloomberg Intelligence,SEMI,中金公司研究部

日本企业在后道设备和“化学系”前道设备具有优势,我们总结原因有二:1)市场零散。欧美尤其占优的三大设备(光刻、刻蚀、薄膜沉积)均拥有100亿美元以上市场规模,而日本占优的设备种类市场规模基本在50亿美元以下,且单品的单条产线需求量小于三大设备,因此对于追求利润最大化的欧美企业而言,零散市场的吸引力相对靠后。2)IDM的传统沿革。欧美设备企业的特征是具有较强的模块和系统设计能力,零部件则更多地向全球龙头采购,而日本企业秉持IDM的传统,从材料、零部件到整机均由企业或国家内部完成研发,促使日本企业在一些“隐性知识”较多、“优化标准”较多的领域做到高于全球其他同行的水准,也导致了日本多数企业专精于某一设备种类的格局。

前道设备:晶圆厂资本开支复苏,日本前道设备厂商需求增长

SEMI预计2025年全球半导体设备需求有望反转。根据SEMI,受到终端市场疲软的影响,2023年全球半导体设备总销售额预计同比下滑约6.1%至1,009亿美元,其中,晶圆代工设备/测试设备/封装设备销售额分别同比下滑约3.7%/16.0%/31.0%。随着半导体行业周期上行趋势逐步确立,SEMI认为2024年或将为过渡年,2025年有望看到半导体设备销售额的强劲增长,SEMI预计2024年/2025年全球半导体设备销售额分别同比增长5%/18%至1,053亿美元/1,240亿美元,其中晶圆代工设备销售额分别同比增长3%/18%至932亿美元/1,098亿美元。

300mm晶圆厂资本开支持续增加,前道设备长期需求旺盛。根据SEMI,2023年全球晶圆代工领域的300mm设备投资约为590亿美元,由于生成式AI、汽车和智能边缘设备的需求景气度较高,SEMI预计300mm晶圆代工领域的设备支出有望在2027年达到791亿美元,2023~2027年CAGR为7.6%。若进一步考虑存储芯片、模拟芯片、微型器件、光电器件和分立器件领域,SEMI预计全球300mm设备投资额有望从2023年的960亿美元左右增长到2027年的1,370亿美元。

图表:全球半导体设备总销售额预计将在2025年达到1,240亿美元

中金 | 全球硬科技巡礼(一):日本半导体设备的成长之路

资料来源:SEMI,中金公司研究部

图表:全球300mm设备投资预计将在2027年达到1,370亿美元

中金 | 全球硬科技巡礼(一):日本半导体设备的成长之路

注:SEMI统计了毛利率高于50%的厂商;300mm设备用于晶圆代工、存储芯片、模拟芯片、微型器件、光电器件和分立器件领域

资料来源:SEMI,中金公司研究部

► 光刻机:Nikon和Canon全球合计市占率约为8%

根据Gartner数据,2022年全球光刻机市场规模175亿美元,ASML/Nikon/Canon的市占率分别为87%/2%/6%,此外还有少数几家电子束光刻机厂商,如日本NuFlare。其中,ASML主打高端机型(EUV),且是全球唯一的EUV光刻机量产厂商,Nikon和Canon主打中低端机型。分机型来看,2023年全球ArFi和ArF光刻机出货量共169台,其中ASML出货157台、Nikon出货12台;全球KrF光刻机出货量共246台,其中ASML出货184台、Nikon出货6台、Canon出货56台;全球I-line光刻机出货量共213台,其中Canon以131台的出货量位居第一。

► 掩模检测和涂胶显影设备:光刻机重要帮手,日厂独大

掩模检测设备:根据Gartner,2022年全球掩膜检测设备市场规模为16亿美元,供应商包括美国KLA、日本Lasertec、美国AMAT等,市占率分别为53%、38%、5%(2020年市占率分别为45%、45%、6%)。其中EUV掩膜检测设备的竞争主要聚焦于Lasertec和KLA之中。

涂胶显影设备:根据Gartner,2022年全球涂胶显影设备市场规模36亿美元,TEL全球市占率为89%、Screen市占率5%(2020年市占率分别为87%、5%),其余厂商包括韩国SEMES、中国大陆芯源微等。

► 刻蚀/薄膜沉积设备:电子线路成型的主力军,TEL、AMAT、LAM三分天下

刻蚀设备的分为导体刻蚀和介质刻蚀。根据Gartner数据,2022年全球刻蚀设备市场规模210亿美元,其中,导体刻蚀设备116亿美元,介质刻蚀设备94亿美元。导体刻蚀设备龙头为Lam,2022年市占率54%,AMAT、日立高新、TEL分别以27%、7%、4%的份额跟随其后(2020年Lam、AMAT、日立高新、TEL市占率分别为54%、30%、6%、5%)。介质刻蚀设备市场基本由TEL和Lam垄断,2022年市占率分别为52%和40%(2020年市占率分别为53%和38%)。

薄膜沉积设备分为物理气相沉积(PVD)、化学气相沉积(CVD)、原子层沉积(ALD)。根据Gartner,2022年全球薄膜沉积设备市场规模为228亿元,其中各类CVD设备(Plasma CVD、Thermal CVD等)占比48%,ALD设备占比14%,PVD设备占比38%。TEL的CVD设备和ALD设备市占率较高,爱发科在PVD设备中占有一席之地。根据Gartner 2020年数据,全球CVD设备市场中AMAT以28%的份额占主导地位,Lam和TEL紧随其后,市占率分别为25%和17%。但在特定的细分品类如Batch CVD设备中,TEL和Kokusai两家日本厂商几乎垄断市场。ALD设备的全球龙头为ASMI,2022年市占率为48%,TEL市占率为19%,AMAT和Lam也有布局ALD设备,占据剩余市场份额。PVD设备市场则被AMAT高度垄断,2022年市占率为86%,日本爱发科以5%的份额位居第二。

► CMP/热处理/清洗设备:晶圆制造的后备力量,日本厂商各秀风采

CMP:根据Gartner数据,2022年全球CMP设备市场规模为30亿美元,主要由AMAT和荏原制作所占据,全球市占率分别为66%、23%(2020年市占率分别为64%、29%)。

热处理工艺:根据Gartner数据,2020年全球半导体热处理设备市场规模为15亿美元,我们等比例估算2022年市场规模约24亿美元,AMAT、Kokusai、TEL三家企业占据全球热处理设备市场绝大多数份额,市占率分别为41%、21%、19%。

清洗设备:根据Gartner,2022年全球清洗设备市场规模49亿美元,日本企业占比高,Screen和TEL分别以37%和29%的市占率分列前二(2020年市占率分别为42%、18%)。

后道设备:先进封装推升后道设备重要性,日企有较强技术储备

经济效益推动Chiplet先进封装落地。我们看到,物理极限在一定程度上制约了先进制程的进展,为了提高芯片制造良率,先进制程成本高企,经济效益有所降低,而直接缩减单颗芯片的面积是提高单片晶圆整体良率最快的方法。Chiplet技术可以将不同工艺和功能的芯片进行异质集成,性能可以达到大尺寸SoC的水平。这种技术的核心思想是先分再合,即先将单芯片中的功能块拆分出来,再通过2.5D或3D等先进封装方式将其集成为大的单芯片。2.5D和3D封装的主要区别在于是否有芯片与芯片在垂直方向上的连接;2.5D封装形式中,芯片的排列主要在RDL、高密度基板或Interposer上进行平面排布;3D封装形式中,芯片的排列包含了芯片在垂直方向上的堆叠,芯片与芯片之间直接进行键合。

Chiplet带动中道设备需求,供应商与前道设备类似。先进封装技术中,Bumping(凸块)、TSV(硅通孔)和RDL(重布线层)等新的连接形式取代了传统的引线键合。以Bumping为例,其生产过程与前道制造类似,从步骤上看主要有光刻、涂胶显影、溅射、刻蚀以及贯穿全过程的清洗,但特征尺寸通常在μm级别。因此全球来看,涉及中道制造设备的公司与前道制造设备供应商类似,例如在光刻工艺步骤中,AMAT、TEL、SUSS、Veeco、PSK等公司均有涉及。

Chiplet将制造复杂度从前道转移至后道,日本厂商多为后道设备龙头。Chiplet主要使用的后道设备与传统封装类似,包括背面减薄机、切割机、引线键合机、焊线机、模塑机、切筋成型机等。但相比传统封装将晶圆片切割成单个芯片而后对单个芯片进行封装焊线,Chiplet则需要将多个芯片层叠贴合成一个整体后再进行模塑、电镀、打标等工序,导致减薄、切割、贴片、测试等环节的复杂程度增加,相应的后道设备用量和精度有所提升。例如在减薄环节中,Chiplet对芯片间高互联要求持续提升,对应的晶圆厚度需要越来越薄,对减薄设备提出了更高的技术要求;贴片环节中,相比传统封装只需贴片一次,先进封装的贴片次数跟随成品芯片的集成度同步增加,同时所用设备也从贴片机和引线键合机替换为倒装键合机;测试环节中,由于Chiplet对晶圆处理步骤增加,因此相应增加了晶圆测试量,测试设备的用量大幅提升。日本在上述设备市场中占据全球龙头地位,比如2022年DISCO的减薄和切割设备市占率约75%、Advantest的测试机市占率超过50%(根据Gartner),我们认为Chiplet快速发展有望进一步打开日本后道设备厂商的增长前景。

► 减薄/切割设备:从晶圆到芯片的第一步工序,DISCO和ACCRETECH近乎垄断

减薄(Thinned)是后道封装的第一个环节,目的在于减小晶圆厚度。切割(Dicing)是从晶圆上取下芯片的第一步,其步骤包括贴膜和切割两步骤。根据Gartner,2022年切割和减薄设备市场规模约为33亿美元,呈现双寡头格局。2022年日本DISCO和ACCRETECH(东京精密)占据接近90%市场份额(2020年略超90%),其中DISCO一家的市占率超过70%。此外,全球其他的晶圆切割和减薄设备厂商包括德国G&N及日本Okamoto Semiconductor等。

► 测试设备:贯穿芯片制造全程,Chiplet带动测试量增加,Advantest为全球龙头

Chiplet对晶圆处理步骤增加,因此相应增加CP测试量。根据SEMI,2023年全球半导体测试设备市场规模约63.2亿美元,SEMI预计2025年回升至84.2亿美元。其中,测试机占比约为63%,分选机占比约为17%,探针台占比约为15%(根据SEMI 2018年数据线性推测)。从竞争格局来看,高端SoC测试机和存储测试机主要由日本Advantest、美国Teradyne两家厂商供应,根据Gartner数据,2022年Advantest、Teradyne市占率分别为56%、38%(2020年市占率分别为41%、53%)。探针台主要由东京电子和东京精密两家日本厂商供应,市占率合计超过70%,其余供应商为中国台湾的旺矽科技、中国大陆的惠特科技等。

► 模塑设备:后道封装的收尾工序之一,日厂占一半以上份额

模塑:全球塑料封装设备领军企业主要有日本TOWA、日本YAMADA、荷兰FICO等,两家日本厂商占据全球一半以上份额,其中TOWA FY2022(截至2023年3月)的模塑设备和精密模具收入规模分别为200亿日元和101亿日元。

风险提示

全球晶圆厂资本支出下滑。半导体设备厂商的订单与晶圆代工厂、封测厂的产能扩张及设备换新紧密相关,若宏观经济下行、终端需求疲软等因素导致晶圆代工厂、封测厂放缓产能扩张、减少资本开支,可能对半导体设备公司的收入和利润产生较大影响。

公司产品研发能力减弱。半导体设备需要持续升级迭代以满足多样化的半导体生产工艺,若厂商研发能力减弱或研发投入不足,可能导致厂商的全球竞争力减弱,从而影响产品出货。

地缘冲突加剧。半导体设备在半导体产业链中处于上游,直接客户主要为晶圆代工厂、封测厂,且客户的地域集中度较高,如果贸易摩擦加剧,可能影响部分产品尤其是高端产品的出口,从而影响半导体设备公司的收入和利润。

[1]https://www.meti.go.jp/english/speeches/press_conferences/2022/1111001.html

[2]https://www.meti.go.jp/english/speeches/press_conferences/2022/1111001.html

[3]https://www.meti.go.jp/english/speeches/press_conferences/2024/0402001.html

[4]https://pr.tsmc.com/english/news/2880

[5]https://pr.tsmc.com/english/news/3105

[6]https://asia.nikkei.com/Business/Tech/Semiconductors/Japan-prepares-4.9bn-in-funds-for-TSMC-s-2nd-Kumamoto-chip-plant

[7]https://www.city.yokohama.lg.jp/city-info/koho-kocho/press/keizai/2023/1221kenkyu.html

[8]《芯路》,冯锦锋和郭启航,机械工业出版社,2021年7月第1版

[9]http://www.cinno.com.cn/industry/news/semiequipmentrevenue230912

[10]https://www.semi.org.cn/site/semi/article/740b0b71e2ba4becaef1036e595a388b.html

文章来源

本文摘自:2024年5月10日已经发布的《全球硬科技巡礼(一):日本半导体设备的成长之路》

张怡康 分析员 SAC 执证编号:S0080522110007 SFC CE Ref:BTO172

何欣怡 联系人 SAC 执证编号:S0080123070095

曹佳桐 分析员 SAC 执证编号:S0080523120004 SFC CE Ref:BUS534

夏依琳 联系人 SAC 执证编号:S0080123060005 SFC CE Ref:BUL745

胡炯益 分析员 SAC 执证编号:S0080522080012

江磊 分析员 SAC 执证编号:S0080523070007 SFC CE Ref:BTT278

彭虎 分析员 SAC 执证编号:S0080521020001 SFC CE Ref:BRE806

石晓彬 分析员 SAC 执证编号:S0080521030001

法律声明

中金 | 全球硬科技巡礼(一):日本半导体设备的成长之路

继续阅读