laitimes

CICC | Global Hard Technology Tour (1): The Growth of Japanese Semiconductor Equipment

author:CICC Research
Since 2016, the increase in the Japanese semiconductor equipment index has been significantly higher than that of the Nikkei 225 index in three periods, from January 2016 to February 2018 (up 156%), from January 2019 to December 2021 (up 235%), and from September 2022 to March 2024 (up 211%). In the recent rally, we believe that in addition to macro factors and semiconductor recovery, there are also factors such as the Japanese government's encouragement of semiconductor investment, the AI wave is good for the industrial chain, and the low valuation of Japanese stocks. This paper analyzes the investment value of Japanese equipment companies by exploring the historical and current global landscape.

summary

Japan's semiconductor industry has experienced 50 ups and downs. Historically, Japan's semiconductor industry peaked in the mid-to-late 80s under the government-led resource agglomeration strategy, and then gradually declined due to various internal and external factors. At present, Japan's total semiconductor output value has fallen to the fifth place in the world, but it still remains at the forefront of the world in the field of semiconductor equipment, materials and parts.

The conductor equipment has a deep accumulation and is leading in many fields. Japanese companies occupy five of the top 10 global semiconductor equipment in 1H23, of which Tokyo Electron (TEL) is second only to ASML and Applied Materials (AMAT). In 2022, Japanese companies accounted for 92%/66%/44% of the global gluing and developing equipment/cleaning equipment/heat treatment equipment market, and Japan also accounted for 10~30% of the rest of the market. In the field of back-end equipment, Japanese companies also occupy the leading position in each track, accounting for 88%/56%/73%/65% of the global cutting and thinning equipment/test equipment/probe station/molding equipment market in 2022. In the market segment of less than $5 billion (global), European and American manufacturers who are good at exerting scale effects do not have a competitive advantage, while Japanese manufacturers have formed a pattern of "one manufacturer leading one field".

The gradual recovery of global equipment investment is expected to drive the performance of Japanese manufacturers to a new high, among which back-end equipment manufacturers may further benefit from the pull of AI demand for advanced packaging. SEMI expects global semiconductor equipment sales to increase by 5%/18% year-on-year to US$1,053/124 billion in 2024/2025. At the same time, the increasingly intensive computing demand of artificial intelligence has accelerated the penetration of advanced packaging technology, whether it is the increase in the number of processes or the increase in process difficulty, which has brought incremental demand for middle and back end equipment.

risk

Global fab capex declines; The company's product research and development capabilities are weakened; Geopolitical conflicts intensify.

body

Why is Japan's semiconductor equipment rising in this round?

On February 22, 2024, the Nikkei 225 index closed at 39,099 points, surpassing its all-time high of 38,957 points in 1989 for the first time, and reached a new intraday high of 41,088 points on March 22. Against the backdrop of a strong rally in the Japanese stock market, the Japanese semiconductor sector (referring to the MSCI Japan Semiconductor & Semiconductor Equipment Index) achieved outstanding excess returns, reaching 156% between September 30, 2022 and March 29, 2024.

We divide the trend of the Japanese semiconductor index from 2008 to the present into two periods: the first period is that in 2008~2015, the Japanese semiconductor index underperformed the Nikkei 225 index, which is mainly related to the semiconductor industry cycle; The second period is that since 2016, the Japanese semiconductor index has significantly outperformed the Nikkei 225 index, with the strong position of semiconductor equipment, semiconductor materials, MCU, CIS and other subdivisions, the Japanese semiconductor industry has shown good development prospects under the trend of terminal upgrading and innovation, global industrial chain reconstruction, and AI-driven industrial transformation.

Since 2016, the increase in the Japanese semiconductor equipment index has been significantly higher than that of the Nikkei 225 index in three periods, from January 2016 to February 2018 (up 156%), from January 2019 to December 2021 (up 235%), and from September 2022 to March 2024 (up 211%).

Our conclusion is mainly related to the performance growth and valuation upward revision of manufacturers brought about by the three rounds of small-cycle recovery of global semiconductors. In the recent rally, we believe that in addition to macro factors and semiconductor recovery, there are also factors such as the Japanese government's encouragement of semiconductor investment, the AI wave is good for the industrial chain, and the low valuation of Japanese stocks.

► Japan increases investment in the semiconductor industry and establishes an advanced manufacturing consortium, while encouraging overseas high-end production capacity to land in Japan: In June 2021, Japan's Ministry of Economy, Trade and Industry released the first version of the "Semiconductor and Digital Industry Strategy", aiming to triple Japan's semiconductor sales to 15 trillion yen by 2030, and promote the mass production of 2nm computing chips and the high performance of NAND memory. In August 2022, Toyota, Denso, Sony, NTT, NEC, SoftBank, Kioxia, and Mitsubishi UFJ Bank established Rapidus, a joint venture with the goal of building a 2nm wafer foundry in Hokkaido and achieving mass production in 2027. Subsequently, Rapidus received subsidies of 70 billion yen[1], 260 billion yen[2], and 590 billion yen from the Japanese government in November 2022, April 2023, and April 2024[3]. In addition, the Japanese government also strongly supports overseas wafer foundry leaders to build factories in China, such as TSMC announced in November 2021 that it will set up a joint venture with Sony to set up a wafer foundry subsidiary JASM [4], the first factory plans to start producing 12/16/22/28nm chips in 4Q24, and the Japanese government has provided it with a capital subsidy of up to 467 billion yen, and in February 2024, TSMC announced a capital increase to expand the second factory [5], and plans to mass produce 6/7/40nm chips in 2027. The Japanese government said it would continue to subsidize 730 billion yen[6], with the two factories receiving a total of 40% of the total investment cost. Samsung also announced in December 2023 that it would invest 40 billion yen over the next five years to set up an advanced packaging factory in Japan[7], and the Japanese government will provide it with a subsidy of 20 billion yen. From this, we judge that the demand for semiconductor upstream production factors from Japan is expected to maintain high growth in the next few years, and the performance of Japanese semiconductor equipment and materials manufacturers is expected to reach a new high under Japan's relatively close industrial relations, pushing up stock prices.

► AI chips are mainly manufactured using advanced processes, and some Japanese companies have a prominent position in equipment and materials: If you look at individual stocks, the stock prices of Japanese semiconductor equipment manufacturers began to rise earlier. As a leader in Japan's front-end equipment, TEL's stock price trend in the first half of 2023 is similar to that of AMAT and LAM, and since the second half of 2023, it has become the core beneficiary of overseas (relative to Japan) AI-related capital inflows, and its stock price has outperformed AMAT and LAM. Among the back-end equipment, the demand for the main business (testing machines, thinning machines, etc.) of Japanese manufacturers such as Advantest and DISCO has been greatly promoted by AI, and since April 2023, it has shown a growth rate far exceeding that of the back-end leaders in the United States.

► The lower valuation of Japanese stocks attracts investors' attention: According to MSCI, the price-to-earnings ratio of the Japanese semiconductor index from 2016 to 2022 was basically higher than that of the US semiconductor index, and in the first half of 2023, the price-earnings ratio of the Japanese semiconductor index fell below the US semiconductor index and the valuation spread continued to widen. In 2023, the valuation difference between the Japanese and US semiconductor indices will reach a maximum of about 10x P/E, and the lower valuation has made the Japanese semiconductor sector pay attention.

Chart: Japan's semiconductor index compared to the Nikkei 225 index and the U.S. semiconductor index

CICC | Global Hard Technology Tour (1): The Growth of Japanese Semiconductor Equipment

Note: The figure on the left takes 2008/02/29 as the base 100, and the figure on the right takes 2016/01/29 as the base 100 to calculate the relative rise and fall

Source: Bloomberg, CICC Research

Chart: Valuation of Nikkei 225, Japan Semiconductor Index, and U.S. Semiconductor Index (P/E TTM)

CICC | Global Hard Technology Tour (1): The Growth of Japanese Semiconductor Equipment

Source: Bloomberg, CICC Research

图表:TEL、AMAT、LAM股价走势

CICC | Global Hard Technology Tour (1): The Growth of Japanese Semiconductor Equipment

Note: 2023/01/01 is taken as the base 100 to calculate the relative change

Source: Wind, CICC Research

图表:Advantest、DISCO、KLA股价走势

CICC | Global Hard Technology Tour (1): The Growth of Japanese Semiconductor Equipment

Note: 2023/01/01 is taken as the base 100 to calculate the relative change

Source: Wind, CICC Research

Japanese equipment stocks have higher dividend yields. When we compare semiconductor equipment companies in Japan with those in Europe and the United States, we find that Japanese equipment stocks are characterized by higher dividend yields. In terms of net profit margin, Japanese semiconductor equipment manufacturers are generally inferior to European and American manufacturers, on the one hand, because Japanese manufacturers are in the second/third position in the world in some fields, the gross profit margin is slightly lower than that of European and American manufacturers, and on the other hand, due to the higher tax burden of Japanese enterprises; However, the profitability of individual manufacturers in niche markets is close to that of European and American manufacturers, such as Disco and Lasertec. Relatively low net profit margins and conservative financial leverage have led to lower ROE of Japanese manufacturers than European and American manufacturers. However, the advantage of Japanese manufacturers is that their dividend yields are higher than those of European and American manufacturers. With the recent influx of overseas funds pushing up the stock prices of Japanese equipment stocks, the current price-to-earnings ratio of Japanese equipment stocks has reached a level close to or exceeding that of European and American equipment stocks.

Chart: Atlas of semiconductor equipment companies in Japan, Europe and the United States

CICC | Global Hard Technology Tour (1): The Growth of Japanese Semiconductor Equipment

Note: 1) The financial data is converted according to JPY/USD=140.49 and EUR/USD=0.92;2) The financial data is adjusted from Capital IQ to the 2023 calendar year; 3) Updated as of 5/7/2024

Source: Capital IQ, Wind, CICC Research

Review: The development history of Japan's semiconductor industry

In the 70s and 80s of the 20th century, the support of the government promoted the rapid development of the semiconductor industry

In the 70s and 80s of the 20th century, as a catch-up of semiconductor technology in the United States, Japan's semiconductor industry developed rapidly with the support of the Ministry of International Trade and Industry (hereinafter referred to as the Ministry of International Trade and Industry, now the Ministry of Economy, Trade and Industry). VLSI (Very Large Scale Integrated Circuit Initiative) brings a complete semiconductor industry chain. In 1976, the Japanese government organized the joint research and development of the "VLSI Technology Research Institute" by NEC, Hitachi, Mitsubishi, Fujitsu and Toshiba, as well as the Electrical Technology Laboratory of the Ministry of International Trade and Industry, the National Research Institute of Electronic Technology, and the Nippon Telegraph and Telephone Corporation. "VLSI" is divided into joint research laboratories and group laboratories, aiming to comprehensively break through the upstream and downstream and key links of technology. In the joint laboratory, the first, second, and third laboratories are responsible for semiconductor equipment, the fourth laboratory is responsible for semiconductor materials, the fifth laboratory is responsible for lithography processes, and the sixth laboratory is responsible for packaging and testing [8]. The group laboratories are mainly composed of the Computer Research Institute (CDL) and the Nippon Electric Toshiba Information System Laboratory (NTIS), which are scattered within the related companies and conduct applied technology research. As a result, Japan established a complete and independent semiconductor industry system at that time, with a high degree of technological autonomy.

At the same time, the Ministry of International Trade and Industry (MITI) has controlled financial instruments such as foreign exchange rationing, foreign investment access, and credit, amplifying the effects of industrial policies such as VLSI. Before the 80s, Japan's Ministry of International Trade and Industry (MITI) protected its infant industries, including the semiconductor industry, from the impact of overseas giants through trade protection policies such as tariff barriers. In addition, the Ministry of International Trade and Industry (MITI) exerts influence over Japan's banking sector, and before Japan's economic bubble in 1991, Japanese commercial banks lent almost all of their deposits to support the development of key industries, including semiconductors. Through the government's formulation of industrial development plans, optimization of resource allocation, strengthening of intellectual property management, and the establishment of a benefit distribution mechanism, the high-quality resources of Japan's semiconductor industry are gathered, and the enthusiasm of enterprises for R&D is improved, thereby driving the rapid growth of enterprises.

Chart: VLSI Organizational Structure

CICC | Global Hard Technology Tour (1): The Growth of Japanese Semiconductor Equipment

Source: Experience and Enlightenment of the VLSI Project in Japan (July 2013, Dong Shuli and Song Zhenhua), CICC Research

DRAM (Dynamic Random Access Memory (DRAM) was the biggest driver of Japan's semiconductor industry reaching its peak in the mid-to-late 80s. With the support of the Japanese government, since the launch of 256K DRAM in Japan at the end of the 70s, Japanese semiconductor companies have begun to grow rapidly, and their products have seized the global market. By the mid-80s, Japanese DRAM products accounted for nearly 80% of the global market, Japanese integrated circuit products accounted for 30% of the U.S. market, and cutting-edge semiconductor products accounted for 90%. From 1986 to 1989, the world's top three semiconductor manufacturers were all Japanese companies, namely NEC, Toshiba, and Hitachi; Six of the top 10 manufacturers are Japanese companies (the other three are Fujitsu, Mitsubishi Electric, and Panasonic).

Chart: Japan's semiconductor industry peaked in the mid-to-late 80s and has since declined

CICC | Global Hard Technology Tour (1): The Growth of Japanese Semiconductor Equipment

Source: Gartner, IC Insights, CICC Research

The Japan-US Semiconductor Agreement and a variety of internal and external factors have led to a slowdown in the development of semiconductors in Japan

With the share of DRAM in the United States being overtaken by Japan, the United States plans to take measures to counteract. Since Japan has made relatively sufficient reserves at the technological level, it is difficult for the United States to sanction Japan by restricting the export of key technologies. In 1985, the U.S. government signed the Japan-U.S. Semiconductor Agreement with Japan to restrict and crack down on Japan in terms of tariffs and market access.

The Japan-U.S. Semiconductor Agreement includes two objectives: (1) to eliminate the sale of semiconductors by Japanese companies in the U.S. and third-country markets at below-cost prices; (2) eliminate unfair trade practices such as restrictions on the sale of U.S. semiconductor products in the Japanese market; and three measures: (1) "numerical target": the proportion of U.S. semiconductor products in the Japanese market must reach 20%; (2) "Minimum price": Japan will reduce its exports of semiconductors to the United States, and the sales price in the United States market shall not be lower than the cost of production; (3) Patent protection: Improve the intellectual property protection system to achieve effective protection of U.S. patents.

Japanese semiconductor companies are actively responding to the impact of the Japan-US Semiconductor Agreement by adjusting their business methods and overseas layout. In the face of the negative impact of the Japan-US Semiconductor Agreement, Japanese semiconductor companies have chosen: (1) adjust their business methods, further deepen the cooperative relationship between the parent company and its subordinate small enterprises, and reduce production costs; (2) Expand overseas production and rationally lay out the industrial chain, such as expanding product sales in the United States through the layout of the United States, and reducing labor costs in China and Southeast Asia; (3) Adjust product sales strategies and expand the proportion of high value-added products.

Although Japan has taken a series of countermeasures after the agreement, it still has a direct negative impact. In 1992 (the last year of price regulation), the return on equity of Toshiba, Hitachi and other comprehensive motor companies fell to the range of 0~5%, and the deterioration of the financial situation caused the interruption of equipment investment and hindered the iteration of semiconductor products. In 1999, there were only 3 Japanese companies among the top 10 semiconductor manufacturers in the world, of which NEC, Toshiba, and Hitachi ranked second, third, and seventh respectively.

Chart: Toshiba, Hitachi, Fujitsu, Sharp decline in ROE

CICC | Global Hard Technology Tour (1): The Growth of Japanese Semiconductor Equipment

Source: Research <日美半导体协议>on the Development of Japan's Semiconductor Industry under Shock (January 2020, Tian Zheng), CICC Research Department

Chart: The impact of the Japan-US Semiconductor Agreement on the Japanese semiconductor industry

CICC | Global Hard Technology Tour (1): The Growth of Japanese Semiconductor Equipment

Source: "Japan's Semiconductor Industry Development Gains and Losses and Implications for China" (June 2018, Li Haodong), CICC Research

Since the end of the 90s, a series of internal and external factors have led to the continuous decline of Japan's semiconductor market share. (1) Adhere to the integrated production model, and R&D innovation falls into the division of labor model. The trend of global semiconductor refinement division of labor began to emerge in the late 80s, and the technology iteration speed of the design end and manufacturing end under the division of labor mode was greatly accelerated, which also promoted the frequent upgrading of terminal products, while Japanese electrical companies stuck to the integrated production model, and the R&D efficiency was relatively low, and it was difficult to flexibly respond to market demand. Although large groups began to divest their semiconductor businesses in the late 90s for various reasons, such as the merger of Hitachi, NEC, and Mitsubishi's DRAM business into Elpida, Fujitsu's divestment of the semiconductor business, and Toshiba's sale of the DRAM business, it failed to reverse the decline in Japan's market share, and these divested and newly established companies had high borrowing costs and were unable to make business strategy decisions independently, and eventually faced bankruptcy or sale. (2) Lack of a strong hardware terminal brand. Japan's DVD players, tape recorders, electronic calculators and other terminal products have advantages, providing a large market for the development of Japan's semiconductor industry, and the subsequent rise of personal computers, smart phones and other terminal markets rarely have Japanese brands, unlike China, the United States, South Korea has a strong demand for semiconductor purchase and innovation. (3) Excessive concentration of resources leads to the deviation of the technology path, which may miss the wave of specific industries. In the 80s, the Ministry of International Trade and Industry concentrated resources on ultra-large-scale integrated circuits, fifth-generation electronic computers, high-definition television (HDTV), etc. as the focus of the next round of industrial development, among which the fifth-generation electronic computers, high-definition televisions and other products deviated from the mainstream industrial development path, causing Japanese electronics companies to miss the wave of information technology revolution. (4) Government subsidies are reduced. After entering the 90s, the Japanese government gradually reduced its support for the Japanese semiconductor industry, although after a certain degree of improvement, in 2008 Japan's support for the semiconductor industry (exemption from corporate income tax, equipment depreciation years, equipment investment tax reduction, etc.) is still less than South Korea and Taiwan, China.

In 2023, there are no Japanese companies among the world's top 10 semiconductor manufacturers.

At present, Japan's semiconductor industry still maintains strong competitiveness in the upstream link

In terms of total volume, the semiconductor manufacturing equipment and materials in the upstream of the industrial chain of the Japanese semiconductor industry still maintain a strong competitiveness. According to WSTS and IC Insights data, from 2000 to 2022, the global share of Japanese chips fell from 28% to 9%. Although the competitive advantage of Japan's integrated electrical machinery enterprises and chip industry is no longer what it used to be, the 20th century electrical machinery enterprises supported the development and growth of a series of upstream enterprises, and the industrial advantages continue to this day, including semiconductor materials, equipment and components, etc., represented by Tokyo Electron, Advantest Testing, Shin-Etsu Chemical, Murata Manufacturing, etc.

Semiconductor equipment customers have low price sensitivity, high technical barriers in the industry, high product precision requirements, and strong dependence on experience accumulation, which have become advantages for Japanese semiconductor equipment companies that are good at precision work. At the same time, these Japanese semiconductor equipment leaders have also expanded their business territory and created technological synergies through mergers and acquisitions. For example, Tokyo Electron acquired Supercritical, a U.S. wafer cleaning equipment manufacturer, in 2012, NEXX System, a U.S.-based advanced packaging manufacturer, and FSI, a U.S.-based cleaning and surface treatment manufacturer, in 2012.

Prospect: Japan has a deep accumulation of semiconductor equipment and leads the world in many fields

Japan still maintains the world's first echelon level in semiconductor equipment, materials, parts and other sub-industries. For example, TEL's gluing and developing equipment, Screen cleaning equipment, semiconductor materials such as SUMCO and Shin-Etsu's silicon wafers, and JSR, TOK, and Shin-Etsu's photoresists, etc. In this report, we will first explain the segments of the semiconductor equipment industry in which Japanese companies have an advantage.

根据CINNO Research[9],1H23全球前十大半导体设备厂商中有五家来自日本,分别为排名第三的东京电子(TEL),排名第七至十的爱德万测试(Advantest)、迪恩士(Screen)、日立高新(Hitachi-Hightech)、DISCO。

Chart: 1H23 Global semiconductor equipment manufacturers ranked TOP10 in terms of revenue scale

CICC | Global Hard Technology Tour (1): The Growth of Japanese Semiconductor Equipment

CINNO Research, Zhongkin Corporation Research Department

The semiconductor manufacturing process is divided into front-end and back-end, corresponding to the use of front-end and back-end equipment, of which global semiconductor front-end equipment sales in 2022 were $94.1 billion, accounting for 87.6% of all equipment (according to SEMI [10]). Semiconductor front-end equipment can be divided into lithography machines, gluing and developing equipment, etching equipment, thin film deposition equipment, cleaning equipment, CMP equipment, heat treatment equipment, ion implantation equipment, front-end testing equipment and other types. Back-end equipment includes thinning machines, cutting machines, placement machines, wire bonding equipment, molding equipment, and probe stations, sorters, and testing machines for testing.

Among the front-end equipment, Japanese companies have a place in each category. According to Gartner's 2022 data, Japanese companies account for about 92%/66%/44% of the global gluing and developing equipment, cleaning equipment, and heat treatment equipment market, respectively, and are mainly dominated by TEL and Screen. Secondly, Japan's relatively advantageous equipment types include etching equipment (29% share, TEL and Hitachi High-tech), CVD equipment (25% share, TEL and Kokusai), CMP equipment (23% share, Ewara Manufacturing), and ion implantation (16% share, Sumitomo Group). The rest of the equipment Japanese companies account for a relatively small proportion, but there are still Nikon, Canon, Hitachi High-tech, Afvac and other companies.

Japan's front-end equipment companies can be divided into "supermarket type" and "specialized type". Most of the companies are "specialized", such as Ebara focuses on CMP, Hitachi High-tech focuses on front-end inspection, and Lasertec focuses on mask inspection. Different from the above-mentioned manufacturers, TEL is similar to AMAT in the United States, positioning itself as a "semiconductor equipment supermarket", and its business covers most of the equipment except lithography, and all of them occupy a high market share.

Japanese companies have a relatively high proportion of equipment for the application of liquids, fluids, and powders, and the related processes are based on chemical reactions, while the proportion of equipment using light, electron beams, and plasma is relatively low, and the related processes mainly rely on particle migration and mechanical movement.

Chart: Global semiconductor front-end equipment market size and share

CICC | Global Hard Technology Tour (1): The Growth of Japanese Semiconductor Equipment

Note: Unless otherwise specified, the data are for 2022; The competitive landscape of thin film deposition, heat treatment, ion implantation, and front-end inspection is the data of 2020; The heat treatment and ion implantation market size is estimated to 2022 based on 2020 data

资料来源:Gartner,Bloomberg Intelligence,中金公司研究部

In the back-end equipment, Japanese companies basically have more than half of the market share. DISCO and Tokyo Seimitsu account for 88% of the global wafer dicing and thinning equipment market, Advantest has a 56% share of the testing machine market, Tokyo Electron and Tokyo Seimitsu account for 73% of the probe station market, and TOWA and YAMAHA account for 65% of the molding equipment market. Japanese companies in chip welding equipment account for a relatively small proportion, and the combined market share of Shinkawa and Shibaura Electromechanical is about 10%.

Chart: Global semiconductor back-end equipment market size and share

CICC | Global Hard Technology Tour (1): The Growth of Japanese Semiconductor Equipment

Note: Cutting and thinning equipment and test equipment are 2022 data; The rest is for 2019

资料来源:Gartner,Bloomberg Intelligence,SEMI,中金公司研究部

Japanese companies have an advantage in back-end equipment and "chemistry-departmental" front-end equipment, and we conclude that there are two reasons: 1) the market is fragmented. The three major equipment (lithography, etching, and thin film deposition) in Europe and the United States have a market size of more than 10 billion US dollars, while the market size of Japan's dominant equipment types is basically less than 5 billion US dollars, and the demand for a single production line of a single product is less than that of the three major equipment, so for European and American companies that pursue profit maximization, the attraction of the scattered market is relatively low. 2) The traditional evolution of IDM. European and American equipment companies are characterized by strong module and system design capabilities, and parts are purchased more from global leaders, while Japanese companies uphold the tradition of IDM, from materials, parts to the whole machine are completed by enterprises or countries within the research and development, prompting Japanese companies to achieve higher levels than other peers in the world in some areas with more "tacit knowledge" and "optimization standards", which has also led to the pattern that most Japanese companies specialize in a certain type of equipment.

Front-end equipment: Fab capital expenditure recovered, and demand from Japanese front-end equipment manufacturers increased

SEMI expects global demand for semiconductor equipment to reverse in 2025. According to SEMI, the total global semiconductor equipment sales in 2023 are expected to decline by about 6.1% year-on-year to US$100.9 billion due to the weak end market, of which the sales of wafer foundry equipment/test equipment/packaging equipment will decline by about 3.7%/16.0%/31.0% year-on-year, respectively. As the upward trend of the semiconductor industry cycle gradually establishes itself, SEMI believes that 2024 may be a transition year, and 2025 is expected to see strong growth in semiconductor equipment sales, SEMI expects global semiconductor equipment sales to increase by 5%/18% year-on-year to US$105.3 billion/US$124 billion in 2024/2025, respectively, of which foundry equipment sales will increase by 3%/18% year-on-year to US$93.2 billion/US$109.8 billion, respectively.

The capital expenditure of 300mm fabs continues to increase, and the long-term demand for front-end equipment is strong. According to SEMI, the global investment in 300mm equipment in the field of wafer foundry in 2023 will be about 59 billion US dollars, and due to the high demand for generative AI, automotive and intelligent edge devices, SEMI expects that equipment spending in the field of 300mm wafer foundry is expected to reach 79.1 billion US dollars in 2027, with a CAGR of 7.6% in 2023~2027. If we further consider the fields of memory chips, analog chips, miniature devices, optoelectronic devices, and discrete devices, SEMI expects global investment in 300mm equipment to grow from about $96 billion in 2023 to $137 billion in 2027.

Chart: Total global semiconductor equipment sales are expected to reach $124 billion by 2025

CICC | Global Hard Technology Tour (1): The Growth of Japanese Semiconductor Equipment

Source: SEMI, CICC Research

Chart: Global investment in 300mm equipment is expected to reach $137 billion by 2027

CICC | Global Hard Technology Tour (1): The Growth of Japanese Semiconductor Equipment

Note: SEMI counts manufacturers with gross profit margins higher than 50%; 300mm equipment is used in the fields of foundry, memory chips, analog chips, miniature devices, optoelectronic devices and discrete devices

Source: SEMI, CICC Research

► Lithography machines: Nikon and Canon have a combined global market share of about 8%

According to Gartner data, the global lithography machine market size will be $17.5 billion in 2022, with ASML/Nikon/Canon's market share of 87%/2%/6% respectively, in addition to a few electron beam lithography machine manufacturers, such as Japan's NuFlare. Among them, ASML focuses on high-end models (EUV) and is the world's only EUV lithography machine mass production manufacturer, while Nikon and Canon focus on low-end models. In terms of models, in 2023, a total of 169 ArFi and ArF lithography machines will be shipped globally, of which 157 will be shipped by ASML and 12 will be shipped by Nikon; A total of 246 KrF lithography machines were shipped worldwide, including 184 ASML, 6 Nikon, and 56 Canon. A total of 213 I-line lithography machines were shipped worldwide, of which Canon ranked first with 131 units.

► Mask detection and gluing and developing equipment: an important helper of lithography machine, and the Japanese factory is the only one

Mask inspection equipment: According to Gartner, the global mask inspection equipment market size will be $1.6 billion in 2022, with suppliers including KLA in the United States, Lasertec in Japan, and AMAT in the United States, with market shares of 53%, 38%, and 5% respectively (the market shares in 2020 were 45%, 45%, and 6%, respectively). Among them, the competition of EUV mask inspection equipment is mainly focused on Lasertec and KLA.

Gluing and developing equipment: According to Gartner, the global market size of gluing and developing equipment in 2022 will be 3.6 billion US dollars, with TEL's global market share of 89% and Screen's market share of 5% (87% and 5% respectively in 2020), and the rest of the manufacturers include South Korea's SEMES, Chinese mainland Xinyuan Micro, etc.

► Etching/thin film deposition equipment: the main force of electronic circuit molding, TEL, AMAT, LAM

Etching equipment is divided into conductor etching and medium etching. According to Gartner data, the global etching equipment market size will be $21 billion in 2022, of which conductor etching equipment will be $11.6 billion and dielectric etching equipment will be $9.4 billion. The leader of conductor etching equipment is Lam, with a market share of 54% in 2022, followed by AMAT, Hitachi High-tech, and TEL with a share of 27%, 7%, and 4% respectively (in 2020, the market shares of Lam, AMAT, Hitachi High-tech, and TEL were 54%, 30%, 6%, and 5%, respectively). The media etching equipment market is basically monopolized by TEL and Lam, with market shares of 52% and 40% in 2022 (53% and 38% in 2020).

Thin film deposition equipment is divided into physical vapor deposition (PVD), chemical vapor deposition (CVD), and atomic layer deposition (ALD). According to Gartner, the global thin film deposition equipment market size will be 22.8 billion yuan in 2022, of which various CVD equipment (Plasma CVD, Thermal CVD, etc.) will account for 48%, ALD equipment will account for 14%, and PVD equipment will account for 38%. TEL's CVD equipment and ALD equipment have a high market share, and ULVAC has a place in PVD equipment. According to Gartner's 2020 data, AMAT dominates the global CVD equipment market with a 28% share, followed by Lam and TEL with 25% and 17% market shares, respectively. However, in specific sub-categories such as Batch CVD equipment, two Japanese manufacturers, TEL and Kokusai, almost monopolize the market. The global leader in ALD equipment is ASMI, with a market share of 48% in 2022, and TEL has a market share of 19%. The PVD equipment market is highly monopolized by AMAT, with a market share of 86% in 2022, and Japan's ULVAC ranks second with a 5% share.

► CMP/heat treatment/cleaning equipment: the reserve force of wafer manufacturing, Japanese manufacturers show their style

CMP: According to Gartner data, the global CMP equipment market size in 2022 is $3 billion, mainly occupied by AMAT and Ebara Manufacturing, with global market shares of 66% and 23% respectively (64% and 29% in 2020).

Heat treatment process: According to Gartner data, the global semiconductor heat treatment equipment market size in 2020 was US$1.5 billion, and we estimate that the market size in 2022 will be about US$2.4 billion in 2022, with AMAT, Kokusai, and TEL accounting for the vast majority of the global heat treatment equipment market, with market shares of 41%, 21%, and 19% respectively.

Cleaning equipment: According to Gartner, the global cleaning equipment market size in 2022 is US$4.9 billion, with Japanese companies accounting for a high proportion, and Screen and TEL ranking the top two with a market share of 37% and 29%, respectively (42% and 18% in 2020).

Back-end equipment: Advanced packaging promotes the importance of back-end equipment, and Japanese companies have strong technical reserves

Economic benefits promote the implementation of advanced chiplet packaging. In order to improve the yield of chip manufacturing, the cost of advanced processes is high and the economic benefits are reduced, and directly reducing the area of a single chip is the fastest way to improve the overall yield of a single wafer. Chiplet technology can heterogeneously integrate chips with different processes and functions, and the performance can reach the level of large-size SoCs. The core idea of this technology is to divide and reunite, that is, to split the functional blocks in a single chip and then integrate them into a large single chip through advanced packaging methods such as 2.5D or 3D. The main difference between 2.5D and 3D packaging is whether there is a chip-to-chip connection in the vertical direction; In the 2.5D packaging form, the arrangement of the chips is mainly arranged in a plane on RDL, high-density substrate or interposer. In 3D packaging, the arrangement of chips consists of stacking chips in a vertical direction, and the chips are directly bonded to each other.

Chiplets drive demand for mid-end equipment, and suppliers are similar to front-end equipment. In advanced packaging technologies, new forms of connection, such as Bumping, TSV (Through-Silicon Via), and RDL (Rerouting Layer), have replaced traditional wire bonding. Taking Bumping as an example, the production process is similar to that of front-end manufacturing, with photolithography, gluing and developing, sputtering, etching and cleaning throughout the whole process, but the feature size is usually at the μm level. Therefore, from a global perspective, companies involved in mid-end manufacturing equipment are similar to front-end manufacturing equipment suppliers, such as AMAT, TEL, SUSS, Veeco, PSK, etc., in the lithography process step.

Chiplets shift the complexity of manufacturing from the front end to the back end, and most Japanese manufacturers are the back end equipment leaders. Chiplets mainly use back-end equipment similar to traditional packaging, including backside thinning machines, cutting machines, wire bonding machines, wire bonding machines, molding machines, rib cutting machines, etc. However, compared with traditional packaging, which cuts wafers into a single chip and then packages and bonds a single chip, chiplets need to stack multiple chips into a whole and then carry out molding, electroplating, marking and other processes, resulting in an increase in the complexity of thinning, cutting, mounting, testing and other links, and the corresponding amount and accuracy of back-end equipment have been improved. For example, in the thinning process, chiplets continue to increase the requirements for high interconnection between chips, and the corresponding wafer thickness needs to be thinner and thinner, which puts forward higher technical requirements for thinning equipment. In the placement process, compared with traditional packaging, which only needs to be mounted once, the number of placement times of advanced packaging increases simultaneously with the integration of the finished chip, and the equipment used is also replaced from the placement machine and wire bonder to the flip bonder. In the testing process, due to the increase in the number of wafer processing steps in the chiplet, the wafer test volume has increased correspondingly, and the amount of test equipment has been greatly increased. Japan occupies a leading position in the global market for the above-mentioned equipment, such as DISCO's thinning and cutting equipment market share of about 75% in 2022, and Advantest's testing machine market share of more than 50% (according to Gartner), we believe that the rapid development of chiplets is expected to further open up the growth prospects of Japanese back-end equipment manufacturers.

► Thinning/dicing equipment: The first step from wafer to chip, DISCO and ACCRETECH have a near-monopoly

Thinned is the first step in back-end packaging, which aims to reduce wafer thickness. Dicing is the first step in removing the chip from the wafer, and the steps include film and dicing. According to Gartner, the cutting and thinning equipment market size will be about $3.3 billion in 2022, showing a duopoly pattern. In 2022, Japan's DISCO and ACCRETECH (Tokyo Seimitsu) accounted for nearly 90% of the market share (slightly more than 90% in 2020), of which DISCO alone accounted for more than 70% of the market. In addition, other global wafer dicing and thinning equipment manufacturers include G&N of Germany and Okamoto Semiconductor of Japan.

► Test equipment: Throughout the whole process of chip manufacturing, Chiplet drives the increase in test volume, and Advantest is the global leader

The chiplet increases the number of wafer processing steps, so the CP test throughput increases accordingly. According to SEMI, the global semiconductor test equipment market size will be about $6.32 billion in 2023, and SEMI is expected to rebound to $8.42 billion in 2025. Among them, the proportion of testing machines is about 63%, the proportion of sorting machines is about 17%, and the proportion of probe stations is about 15% (linear speculation based on SEMI 2018 data). According to Gartner data, the market share of Advantest and Teradyne in 2022 will be 56% and 38% respectively (41% and 53% in 2020). The probe station is mainly supplied by two Japanese manufacturers, Tokyo Electron and Tokyo Precision, with a combined market share of more than 70%, and the rest are supplied by Wangsi Technology in Taiwan, Whittech Technology in Chinese mainland, etc.

► Molding equipment: one of the finishing processes of post-packaging, and the Japanese factory accounts for more than half of the share

Molding: The world's leading plastic packaging equipment companies mainly include Japan's TOWA, Japan's YAMADA, and the Netherlands' FICO, among which TOWA FY2022 (as of March 2023) has a revenue scale of 20 billion yen and 10.1 billion yen respectively.

Risk Warning

Global fab capex declined. If factors such as macroeconomic downturn and weak terminal demand lead to a slowdown in capacity expansion and capital expenditures of wafer foundries and packaging and testing plants, it may have a greater impact on the revenue and profits of semiconductor equipment companies.

The company's product research and development capabilities have weakened. Semiconductor equipment needs to be continuously upgraded and iterated to meet the diversified semiconductor production process, and if the manufacturer's R&D capability is weakened or the R&D investment is insufficient, it may lead to the weakening of the manufacturer's global competitiveness, which will affect product shipments.

Geopolitical conflicts intensify. Semiconductor equipment is in the upstream of the semiconductor industry chain, and the direct customers are mainly wafer foundries and packaging and testing plants, and the geographical concentration of customers is high, if the trade friction intensifies, it may affect the export of some products, especially high-end products, thereby affecting the revenue and profits of semiconductor equipment companies.

[1]https://www.meti.go.jp/english/speeches/press_conferences/2022/1111001.html

[2]https://www.meti.go.jp/english/speeches/press_conferences/2022/1111001.html

[3]https://www.meti.go.jp/english/speeches/press_conferences/2024/0402001.html

[4]https://pr.tsmc.com/english/news/2880

[5]https://pr.tsmc.com/english/news/3105

[6]https://asia.nikkei.com/Business/Tech/Semiconductors/Japan-prepares-4.9bn-in-funds-for-TSMC-s-2nd-Kumamoto-chip-plant

[7]https://www.city.yokohama.lg.jp/city-info/koho-kocho/press/keizai/2023/1221kenkyu.html

[8] "Core Road", Feng Jinfeng and Guo Qihang, China Machine Press, July 2021, 1st edition

[9]http://www.cinno.com.cn/industry/news/semiequipmentrevenue230912

[10]https://www.semi.org.cn/site/semi/article/740b0b71e2ba4becaef1036e595a388b.html

Article source:

This article is excerpted from: "Global Hard Technology Tour (1): The Growth of Japanese Semiconductor Equipment" released on May 10, 2024

张怡康 分析员 SAC 执证编号:S0080522110007 SFC CE Ref:BTO172

He Xinyi Contact SAC License Number: S0080123070095

曹佳桐 分析员 SAC 执证编号:S0080523120004 SFC CE Ref:BUS534

夏依琳 联系人 SAC 执证编号:S0080123060005 SFC CE Ref:BUL745

Hu Jiongyi Analyst SAC License No.: S0080522080012

江磊 分析员 SAC 执证编号:S0080523070007 SFC CE Ref:BTT278

彭虎 分析员 SAC 执证编号:S0080521020001 SFC CE Ref:BRE806

石晓彬 分析员 SAC 执证编号:S0080521030001

Legal Notices

CICC | Global Hard Technology Tour (1): The Growth of Japanese Semiconductor Equipment

Read on