laitimes

The first commercial High NA EUV lithography machine has been assembled, which will support Intel's 14A process development

The first commercial High NA EUV lithography machine has been assembled, which will support Intel's 14A process development

The first commercial High NA EUV lithography machine has been assembled, which will support Intel's 14A process development

On April 18, local time, Intel Corporation announced that its R&D base in Hillsboro, Oregon has reached an important milestone in the field of advanced semiconductor manufacturing, completing the assembly of the industry's first commercial High NA (high numerical aperture) extreme ultraviolet (EUV) lithography machine.

According to reports, Intel is carrying out calibration steps on the ASML TWINSCAN EXE:5000 High NA EUV lithography machine to prepare for the production of Intel's future process roadmap. The new device is capable of significantly improving the resolution and function expansion of next-generation processors by changing the optical design that projects the printed image onto a silicon wafer.

"With the addition of High NA EUV, Intel will have the industry's most comprehensive lithography toolbox, enabling the company to drive future process capabilities beyond Intel 18A in the second half of the 2030s," said Mark Phillips, Intel Fellow and Intel Foundry Logic Technology Development Lithography, Hardware and Solutions Director. ”

According to the data, ASML's first-generation High NA EUV (EXE:5000) has a resolution of 8nm, which can achieve a physical signature scaling that is 1.7 times smaller than existing EUV lithography machines, from increasing the transistor density of a single exposure by 2.9 times, allowing chipmakers to streamline their manufacturing processes. And, the EXE:5000 can lithography more than 185 wafers per hour, an increase over the NXE systems already used in high-volume manufacturing. ASML has also laid out a roadmap for the launch of the second generation of High NA EUV lithography machines by 2025 to increase production capacity to 220 wafers per hour, ensuring that the integration of High NA EUV lithography into chip fabs is economically critical for chipmakers. According to previous leaks, the price of the High NA EUV is as high as 350 million euros.

The first commercial High NA EUV lithography machine has been assembled, which will support Intel's 14A process development

ASML also recently announced that it has printed the first 10-nanometer (nm) dense wire at the High NA lab at the company's headquarters in Veldhoven, the Netherlands. These are the finest lines ever photographed, setting a world record for the highest resolution for an EUV lithography machine. This demonstration validates the innovative high-NA EUV optical design of ASML partner ZEISS.

The first commercial High NA EUV lithography machine has been assembled, which will support Intel's 14A process development

It's no secret that Intel has worked with ASML for decades to advance lithography from 193nm immersion lithography to EUV, but Intel chose not to use the technology in its 10nm process (equivalent to TSMC's 6nm) due to cost considerations. Instead, Intel opted to use a standard deep ultraviolet (DUV) lithography machine for quadruple patterning, which requires four DUV exposures of a single chip layer, rather than a single exposure with EUV. As a result, Intel struggled with yield, causing its 10nm process to be delayed by five years. This also makes Intel continue to be surpassed by TSMC, Samsung and other manufacturers that are the first to use EUV lithography machines.

Therefore, after Intel CEO Gelsinger put forward the "IDM 2.0" strategy, Intel quickly refocused on the improvement of cutting-edge process technology, and proposed a plan of five process nodes in four years, hoping to surpass TSMC's 2nm process with Intel 18A in 2025. At the same time, Intel also hopes to continue to stay ahead of competitors such as TSMC by taking the lead in adopting High NA EUV lithography machines. Ultimately, Intel will achieve break-even operating margins for its foundry business by 2030 and become the world's second-largest foundry.

To this end, Intel has taken the lead in winning the world's first High NA EUV lithography machine in December 2023 and began installing it at Intel's Oregon fab. The High NA EUV lithography system is the size of a double-decker bus and weighs up to 150 tons, the equivalent of two Airbus A320 airliners, and the complete system requires 250 containers in 43 cargo containers to ship, and the installation time is expected to take 250 engineers and six months to complete, which is not only expensive but also time-consuming.

From the point of view of time, it took less than 4 months from Intel to get the first High NA EUV lithography machine and now the assembly was completed, which shows Intel's urgency to learn and use the High NA EUV lithography machine as soon as possible.

Previously, it was expected that the device would be used by Intel for mass production of its most advanced Intel 18A process, however, Intel CEO Pat Gelsinger announced at the 2023 annual earnings conference that Intel 18A is expected to be ready for manufacturing in the second half of 2024, but instead of mass production with High NA EUV lithography machine, the device will be used for challenges below Intel 18A. This also means that the High NA EUV lithography machine will be used in the mass production of the Intel 14A.

The first commercial High NA EUV lithography machine has been assembled, which will support Intel's 14A process development

It should be pointed out that ASML's first-generation High NA EUV (EXE:5000) is not a model for mass production using cutting-edge processes, but mainly for the development and verification of cutting-edge processes. The second-generation High NA EUV lithography machine is mainly aimed at the mass production of cutting-edge production lines, and its capacity has been increased to 220 wafers per hour.

The first commercial High NA EUV lithography machine has been assembled, which will support Intel's 14A process development

In its latest press release, Intel announced plans to be the first to adopt ASML's second-generation High NA EUV, TWINSCAN EXE:5200B system.

Editor: Xinzhixun-Rogue Sword

Read on