laitimes

The embargo continues to increase, but the sales of ASML lithography machines in China are soaring

The embargo continues to increase, but the sales of ASML lithography machines in China are soaring

By the end of 2023, the total number of ASML lithography machines in China will be close to 1,400

The embargo continues to increase, but the sales of ASML lithography machines in China are soaring

Image source/ASML official website

Text | Gu Lingyu and Wu Junyu

Edit | mark

This may seem like a surprise. The U.S. chip export controls to China are tightening step by step, but the affected Dutch lithography giant ASML (ASML NASDAQ:ASML) has recorded a new high performance in China.

Chinese mainland's revenue has been accounting for between 10% and 20% of ASML's global revenue structure, and this proportion will continue to soar in 2023. ASML's financial report shows that the revenue in Chinese mainland accounted for 8% in the first quarter of 2023, 24% in the second quarter, and 46% in the third quarter. In the first three quarters of 2023, Chinese mainland purchased 5.28 billion euros (40.3 billion yuan) of lithography equipment from ASML, a figure that is about double ASML's full-year sales in China in 2022.

The embargo continues to increase, but the sales of ASML lithography machines in China are soaring

On November 5, Shen Bo, global vice president and president of ASML China, revealed in an interview with the media at the CIIE that by the end of 2023, the total number of ASML's lithography machines in China will be close to 1,400.

Lithography is an important part of chip manufacturing. According to the wavelength of the light source, the lithography machine is divided into UV (ultraviolet), DUV (deep ultraviolet), EUV (extreme ultraviolet), and theoretically the advanced chip process process of 7 nanometers and below can only be achieved through EUV.

ASML is the world's largest manufacturer of lithography machines and the only supplier of EUV lithography machines. There is an argument that ASML's technical capabilities have in fact become a bottleneck in the expansion of the semiconductor industry. If China's chip manufacturing wants to break through the advanced process faster, obtaining ASML's advanced lithography machine is the key.

Like TSMC, subject to geopolitics, ASML cannot fully operate according to business logic, Chinese mainland cannot purchase ASML's most advanced EUV lithography machine, and domestic production lines with processes below 14nm mainly rely on DUV lithography machines.

On September 1, 2023, the Netherlands' Advanced Semiconductor Manufacturing Equipment Regulation came into force. In addition to EUV equipment, the Netherlands has also added some of the DUV products required for advanced processes, the TWINSCAN NXT:2000i, and subsequent key immersion DUV systems.

On October 17, the United States once again escalated sanctions on Chinese chips, affecting the most advanced lithography machine NXT1980Di that ASML can currently export to China.

It is becoming more and more difficult for Chinese mainland to obtain advanced lithography machines from ASML.

But why did ASML's China business soar to a new high in the third quarter, how long can this growth last, and will China still be able to buy lithography machines from ASML in 2024?

The embargo continues to increase, but the sales of ASML lithography machines in China are soaring
The embargo continues to increase, but the sales of ASML lithography machines in China are soaring

What products does ASML sell in China?

ASML's product range can be divided into I-line, KrF, ArF dry method, ArF immersion type (usually referred to as DUV) and EUV.

The EUV lithography machine mainly corresponds to the process below 7nm, which is also a product that has been unavailable in China. The main products that have attracted the attention of Chinese customers are DUV lithography machines, including XT1900 and NXT series, of which NXT is divided into more mature NXT1980 and relatively advanced NXT2000/2050/2100, corresponding to the process of about 38nm or less.

The same model of lithography machine will also have subdivision classification, for example, the NXT 1980 series also distinguishes Ei, Di, Fi and other versions, the main difference in this classification is the difference in unit capacity and casing accuracy, and there is not much difference in covering the manufacturing process.

Lithography machines are expensive, whether it is EUV or DUV lithography machines, one is priced at more than $100 million.

According to a document released by ASML at the 2021 Investor Day, the "key immersion DUV" includes the NXT2000i, NXT2050i and NXT2100i models, while the "mid-cirtical" includes the NXT1980Di, and the NXT1980Ei and NXT1980Fi are under development.

Among them, the first three products are no longer available in China.

After the Dutch government's "New Regulations on Export Control of Semiconductor Equipment" came into effect on September 1 this year, ASML must obtain permission from the Dutch authorities for the shipment of TWINSCAN NXT2000i and subsequent immersion lithography systems. After communication between ASML and the Dutch government, the Dutch government allowed ASML to continue to ship the TWINSCAN NXT2000i and subsequent immersion lithography systems that had been ordered by customers before September 1 this year. However, as of January 1, 2024, ASML will basically not obtain an export license to ship these products to Chinese customers for export to China. These products will be subject to a de facto embargo on China.

NXT1980Di is the most advanced ASML product that can be purchased in China, and it is mainly used in the 28nm production line in China, and there is an opportunity to achieve a more advanced process through multiple exposure technology.

In theory, DUV lithography machines can achieve 10nm-7nm process through multiple exposure technology, and the difference between lithography machine models is more reflected in the yield. However, multiple exposure technology itself can affect yield and productivity, resulting in longer process routes and more instability. Increasing the number of multiple exposures will reduce the yield and production capacity, and the economy will be greatly reduced.

The U.S. is trying to plug the loophole in China's use of this technology to break through advanced manufacturing processes. On October 17, 2023, the U.S. Department of Commerce's Bureau of Industry and Security (BIS) raised the control range of the "special chuck coverage" value from less than or equal to 1.5 nm to 2.4 nm in response to China's updated "Export Control Rules for Advanced Computing Chips and Semiconductor Manufacturing Equipment" (see the article "The United States Escalates Sanctions on AI Chips in China" on October 18, 2023 is 1.6 nanometers, which was originally outside the scope of the regulation, but is now included.

ASML executives said at the earnings conference on October 18 that in principle, NXT1980Di is subject to U.S. chip export control restrictions, but the premise of the restriction is that these lithography machines are used to produce chips with advanced processes, and NXT1980Di (compared with the previously restricted DUV lithography machine) is a low-end immersion tool, so the new U.S. export control regulations will only restrict individual chip factories in China.

"We also have a lot of Chinese customers who use these machines to produce mid-range, mature processes, and we can ship them without safety concerns," said Peter Wennink, ASML's chief executive.

The embargo continues to increase, but the sales of ASML lithography machines in China are soaring
The embargo continues to increase, but the sales of ASML lithography machines in China are soaring

Why is ASML's China revenue soaring?

Against this backdrop, ASML's shipments to customers in Chinese mainland soared.

In the first three quarters of fiscal 2023, the Chinese mainland market accounted for 8%, 24% and 46% of revenue, respectively, jumping from the fourth largest market to the first largest market. Sales in China reached 2.442 billion euros in the third quarter, setting a single-quarter sales record for ASML in China.

The industry generally believes that ASML is focusing on delivering products to Chinese customers before the new regulations come into effect, and the backlog of orders in the Chinese market in 2022 and before will be released in 2023.

At the earnings conference, ASML management said that the demand for DUV equipment in China continues to be strong. The high share of the Chinese market is due to the fact that many of the past orders of Chinese customers have not been delivered, and many of these orders have been prepaid. For products shipped to Chinese customers this year, most of the orders were pre-ordered in 2022.

In the past two years, the demand satisfaction rate of customers in Chinese mainland has been significantly less than 50%. These customers actually received much fewer lithography machines than they ordered. The lithography machines purchased by China are mainly mature processes, and all of them are products that comply with U.S. export control regulations.

In other words, ASML's revenue surge in China is mainly driven by mature manufacturing processes. But why do Chinese chip factories need so many mature processes?

On the one hand, according to the news released by ASML's management, the number of chip factories in China that are still involved in advanced manufacturing has dropped sharply. "When we had route discussions with Chinese customers, they all went backwards, not forward. Wennink said. Roger Dassen, CFO of ASML, also said that the company's Chinese customers adjusted their direction last year, and the number of chip factories involved in advanced manufacturing processes has dropped significantly, "If this is the purpose of [U.S. chip export controls], it has now been achieved." ”

The vast majority of Chinese chipmakers have shifted to mid-range, mature processes. Whether it is electric vehicles or energy transition, the development of these industries requires a large number of chips to support. China is seeking to establish the ability to build such production lines independently and controllably. "They'll be closer to autonomy, but not completely, because the local demand is too great. Wennink said.

"Finance Eleven" learned that taking electric vehicles as an example, some leading car companies have their own chip localization rate plans.

On the other hand, the shift of Chinese customers is not a completely isolated growth. An analyst from an international chip consulting agency told Caijing Eleven that Fab (semiconductor manufacturing plants) are being built all over the world. This has affected the demand for semiconductor equipment. In the past, design and manufacturing were separated in the semiconductor industry, with foundries like TSMC tape-out and manufacturing. However, after this supply chain crisis, whether it is the United States, Europe, or Japan, it has introduced a stimulus chip bill to encourage local manufacturing of semiconductors, so there is a boom in the construction of chip manufacturing plants around the world, and these increased production capacity are mainly concentrated in mature processes. He believes that this is a typical manifestation of the semiconductor industry's giving way to economic logic over security logic, so overcapacity will be inevitable.

The embargo continues to increase, but the sales of ASML lithography machines in China are soaring
The embargo continues to increase, but the sales of ASML lithography machines in China are soaring

It's not just lithography machines that are "stuck".

ASML's business in China is in the midst of a geopolitical turmoil.

A senior industry insider told Caijing Eleven that according to his estimates, there will be no more than 80 units of NXT1980Di in China, and no more than 5 units of 2000i and later models.

At the earnings conference on October 18, ASML CEO Wennink said that export restrictions to Chinese customers are difficult to change. "This regulation is the result of in-depth intergovernmental communication, and the premise of change is that geopolitics changes first. ”

He also expects the new regulations to have a 10%-15% impact on Chinese mainland operations in 2024, based on ASML's estimates of the scale of its business in Chinese mainland this year.

In fact, the export restrictions imposed by the United States and the Netherlands on ASML are not limited to some advanced lithography machines.

A chip technician told "Finance Eleven" that after the purchase of lithography machines, follow-up maintenance, maintenance and service are also highly dependent on ASML. The purchase of auxiliary materials is also highly dependent on companies such as the United States, Japan, South Korea and the Netherlands. Buying only a lithography machine is far from enough to completely solve the problem of stuck necks.

A number of industry insiders told "Finance Eleven" that due to import restrictions, domestic production lines have equipment overdue service, difficult maintenance, and key parts cannot be replaced. There are many parts and components of lithography machines, and the domestic supply system has just been established, and the development level is still significantly different from overseas.

Fang Liang, senior investment manager of Huayi Venture Capital, told "Finance Eleven" that when we talk about "stuck neck", we should not only stare at lithography equipment, but first establish the concept that the production line is the organic unity of personnel, equipment, materials, process parameters and production environment, and fully consider the embargo of equipment, materials and even software required for links other than lithography.

Read on