天天看點

fpga實作浮點數乘法以及sin運算

一、FPGA表示浮點數的方法

FPGA表示浮點數的方法主要由兩個

1 自己定義的 比如最高位位符号位,中間n位為整數部分,最後m位為小數部分

舉個例子

3.14 轉換位2進制 11.00100011

我們可以表示為這樣 0_00000011_00100011

最高位為符号位 中間八位為整數部分,後八位為小數部分

這種定義的方式隻有程式員自己知道 在寫程式的時候可以随意的定義

2 IEEE浮點數表示方式

對于單精度(float)的數字來說 主要包括 最高位位符号位,中間8位階碼位,最後23位為尾數

還是拿3.14來舉例 轉換位2進制 11.00100011…

首先必須先将資料轉換為1.x * 2^m次的格式 即 1.100100011 * 2^1格式

8位階碼位的大小位 127+1 127為固定的 1為2的指數

尾數就是小數點後面的所有資料 100100011後面補充0 到23位

是以最終表示為 0_10000000_10010001100000000000000 即 十六進制:4048C000(H)

通過計算機計算 發現4048C000對應的小數為3.136719 該誤差是由于在計算3.14轉換位2進制的時候隻取了小數點後的8位,進而精度有了偏差

為了驗證該表示方法的正确性 我們将3.14的二進制多取幾位

3.14 = 11.001000111101011100001…

同理轉換為科學技術法 3.14 = 11.001000111101011100001 = 1.1001000111101011100001 * 2^1

階碼位127+1 = 128

最終表示結果 0_10000000_10010001111010111000010 = 4048F5C2 (H)

經過電腦驗證 4048F5C2 (H)對應的小數結果位3.140000 該表示方式正确

二、FPGA浮點乘法運算

我一般采用的浮點運算方式為自定義方式 即自己定義小數點的位置

比如計算 30 * pi/180

30 對應的二進制 00011110

規定A [23:0] [符号位] [22:15]整數位 [14:0] 小數位

則30表示為 24’b0_00011110_000000000000000

pi/180 = 0.0174444444444444對應的二進制 0.000001000111011

照規則B [16:0] [符号位] 15整數位 [14:0] 小數位

則 pi/180表示位17’b0_0_000001000111011

計算過程 30*pi/180 = 24’b0_00011110_000000000000000 * 16’b0_000001000111011

最終結果位24+17bit 即41bit

最關鍵的一點就是41bit中有多少是整數部分 多少位是小數部分

小數部分的位數是兩個數小數部分位數之和 第一個數的小數部分15位 第二個數的小數部分15位

是以最終結果 小數部分共30位

是以最終的表示 [40:0] 40:符号位 [39:30]整數部分 [29:0]小數部分

計算過程

assign result = $signed(A) * $signed(pi_180) //采用有符号數的乘法
           

得到結果 result = 41’h21750000

三、FPGA的sin運算

在quartus中調用sin的IP核 發現 輸入輸出資料均為IEEE格式的資料

是以 我們需要将自己定義的資料轉換為IEEE浮點型資料

接下來介紹将自己定義的浮點資料轉換成IEEE浮點資料

上面計算結果result = 41’h21750000 轉換成二進制

100001011101010000000000000000 後面30bit為小數部分

則其實際的含義0_0…0_100001011101010000000000000000

即0.100001011101010000000000000000

轉換成科學技術法 1.00001011101010000000000000000 * 2^ (-1)

最高位符号位 0

8位階碼位 127-1

尾數 00001011101010000000000

是以轉換成IEEE格式

表示為 0_8’d126_23’b00001011101010000000000000000 = 3F05D4000(H)

FPGA來實作上述格式轉換的過程

/******************** 輸入為  31符号位 [29:20]整數位 [19:0]小數位 ***********************/
//找到第一個不是0的位數  如果是第30位為1  則偏移的階碼位為9  因為整數部分一共是10位 去掉最高位還餘9位 
//則最終的8位階碼位為127+9  以此類推
always@(posedge clk)
  begin
	if (rst_n)
	begin 
		if( y_rad_real[30]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd136,y_rad_real[29:7]};
		else if(y_rad_real[29]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd135,y_rad_real[28:6]};
		else if(y_rad_real[28]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd134,y_rad_real[27:5]};
		else if(y_rad_real[27]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd133,y_rad_real[26:4]};
		else if(y_rad_real[26]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd132,y_rad_real[25:3]};
		else if(y_rad_real[25]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd131,y_rad_real[24:2]};				
		else if(y_rad_real[24]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd130,y_rad_real[23:1]};
		else if(y_rad_real[23]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd129,y_rad_real[22:0]};
		else if(y_rad_real[22]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd128,y_rad_real[21:0],1'b0};
		else if(y_rad_real[21]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd127,y_rad_real[20:0],2'b0};
		else if(y_rad_real[20]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd126,y_rad_real[19:0],3'b0};
		else if(y_rad_real[19]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd125,y_rad_real[18:0],4'b0};
		else if(y_rad_real[18]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd124,y_rad_real[17:0],5'b0};
		else if(y_rad_real[17]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd123,y_rad_real[16:0],6'b0};
		else if(y_rad_real[16]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd122,y_rad_real[15:0],7'b0};
		else if(y_rad_real[15]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd121,y_rad_real[14:0],8'b0};
		else if(y_rad_real[14]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd120,y_rad_real[13:0],9'b0};
		else if(y_rad_real[13]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd119,y_rad_real[12:0],10'b0};
		else if(y_rad_real[12]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd118,y_rad_real[11:0],11'b0};
		else if(y_rad_real[11]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd117,y_rad_real[10:0],12'b0};
		else if(y_rad_real[10]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd116,y_rad_real[9:0],13'b0};
		else if(y_rad_real[9]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd115,y_rad_real[8:0],14'b0};
		else if(y_rad_real[8]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd114,y_rad_real[7:0],15'b0};
		else if(y_rad_real[7]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd113,y_rad_real[6:0],16'b0};
		else if(y_rad_real[6]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd112,y_rad_real[5:0],17'b0};
		else if(y_rad_real[5]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd111,y_rad_real[4:0],18'b0};
		else if(y_rad_real[4]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd110,y_rad_real[3:0],19'b0};
		else if(y_rad_real[3]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd109,y_rad_real[2:0],20'b0};
		else if(y_rad_real[2]==1'b1)
				y_rad_real_float <= {y_rad_real[31],8'd108,y_rad_real[1:0],21'b0};
		else 
				y_rad_real_float <= 32'b0;	
	end
end
           

将這個資料輸入到sin 的IP核函數的輸入口

sin_altfp_sincos_cie	sin_U1 (
				.clock (clk),
				.data (dataIn_float),
				.result (dataOut));
           

輸出結果 32’h3effa176 該資料也是IEEE類型的資料 經過電腦轉換 可求的該資料代表float 0.499279

計算結果正确

推薦工具

1 浮點數十六進制轉換器 強力推薦!!

很好用的軟體 直接将小數轉換成IEEE格式的浮點格式

fpga實作浮點數乘法以及sin運算

直接輸入0.5 即可得到IEEE格式的浮點數十六進制表示法

下載下傳位址 http://download.csdn.net/download/yunge812/10271269

2 進制轉換器

可以完成2-63進制類型所有資料的轉換

下載下傳位址 http://download.csdn.net/download/yunge812/10271258

整個工程是求取來sin(30*pi/180)的計算過程 仿真結果正确

整個工程的源碼下載下傳位址

http://download.csdn.net/download/yunge812/10271282

=======================================================================

最近新開的公衆号,文章正在一篇篇的更新,

公衆号名稱:玩轉電子世界

fpga實作浮點數乘法以及sin運算

各位朋友有什麼問題了可以直接在上面提問,我會一一進行解答的。

跟着陽光非宅男,一步步走進電子的世界。

關注之後回複 資料下載下傳 關鍵詞可以獲得免費海量的視訊學習資料下載下傳~~!

已共享的學習視訊資料,共享資料正在不斷更新中。

fpga實作浮點數乘法以及sin運算

共享FPGA視訊學習資料:

fpga實作浮點數乘法以及sin運算

=======================================================================