天天看點

Verilog系統函數的應用總結

  1. $random:産生32位的随機數,它是一個帶符号的整形數;

産生有符号随機數:data    =    $random % 10 ;    //産生一個在 -9—9範圍的随機數;

産生無符号随機數:data    =    {$random} % 10;  //産生一個在 0—9範圍的随機數;

繼續閱讀