天天看點

Quartus Modelsim仿真

Quartus Modelsim仿真
Quartus Modelsim仿真

最新版的quartus (19以上)好像沒有了直接調用modelsim仿真的功能,實際仿真需要手動建立工程執行:

 關于rtl仿真,一般windows平台用modelsim(一般用于小型工程);而linux平台用synopsys: vcs(編譯型仿真器)+dve+(verdi/debug工具),一般大型工程,晶片設計,速度快,企業級用。

--------------------------------

以quartus+modelsim為例:

1、modelsim建立一個仿真工程,添加設計檔案:

工程設定:新版quartus的設定面闆要最大化後才能看到下面的“ok”按鈕,這個操作可能會帶來一些不便,我一開始就怎麼也找不到儲存設定的方法,因為我看不到"ok"按鈕。

Quartus Modelsim仿真

生成仿真檔案模闆:

Quartus Modelsim仿真

2、添加quartus生成的ip仿真檔案: 

 需要注意ip的仿真檔案在sim目錄以及一些帶有一串數字的檔案夾目錄(同時這個目錄裡的檔案每次重新生成ip名字會變)

Quartus Modelsim仿真
Quartus Modelsim仿真

3、添加altera仿真庫:

D:\intelFPGA_pro\19.4\quartus\eda\sim_lib

一般verilog隻要添加.v檔案就行

Quartus Modelsim仿真

4、儲存指令到do檔案,友善下次調用

-------------------------------------------------------

路徑說明:

  當使用一個第三方器件模型時:

Quartus Modelsim仿真
Quartus Modelsim仿真

此問題: 預設路徑為工程檔案所在路徑,可以将mem檔案拷貝到工程路徑下即可

Quartus Modelsim仿真

--------------------------------

vivado(2019) 應該類似,但vivado裡面現在在設定裡面配置好modelsim路徑是可以直接調用modelsim進行仿真的,而不需要手動建立工程

補充說明:直接添加仿真庫源檔案的方式:D:\intelFPGA_pro\19.4\quartus\eda\sim_lib

雖然可行但不推薦,如果這樣的化的每個工程都需要重新編譯,實際上,ModelSim - INTEL FPGA STARTER EDITION

已經有編譯好的庫了我們隻需要添加進來即可:

Quartus Modelsim仿真

當我建立工程添加源檔案及intel生成的ip仿真檔案後,需要再次右擊添加一個仿真配置檔案:

Quartus Modelsim仿真

這個仿真配置檔案可以設定仿真的頂層top對象以及仿真庫路徑:

Quartus Modelsim仿真
Quartus Modelsim仿真

配置完成後我們不用再去添加仿真庫源檔案的路徑,同時仿真時直接輕按兩下上面建立的“Smulation 1”即可啟動我們的仿真。

//

如果使用其它第三方工具可以先用quartus編譯出仿真庫在使用即可,就是說不建議直接連接配接源碼,編譯第三方庫

實際就是使用第三方工具将intel FPGA的仿真庫重新編譯一下。

第一步:在設定頁面選擇我們的仿真工具:比如QuestaSim

Quartus Modelsim仿真

第二步,導出編譯後的仿真庫檔案:

Quartus Modelsim仿真
Quartus Modelsim仿真

斷點設定:

Quartus Modelsim仿真