天天看點

FPGA DDR3 Demo的測試和自建仿真平台 

一. Demo的測試:

建立完一個DDR3的IP核後,在core的MY_DDR3_example_design的simulation檔案夾裡面有README.txt
           
FPGA DDR3 Demo的測試和自建仿真平台 

README裡面會有提示你需要有哪些步驟。 

FPGA DDR3 Demo的測試和自建仿真平台 
1.打開仿真的工程,然後選擇運作tcl腳本tcl scripts 
FPGA DDR3 Demo的測試和自建仿真平台 
FPGA DDR3 Demo的測試和自建仿真平台 
然後點選run一下tcl。
2.根據readme的提示,change Directory改變路徑到verilog / ... /mentor這個路徑下 
FPGA DDR3 Demo的測試和自建仿真平台 
然後運作路徑下的do檔案。
3.do完後,會提示simulation passen 仿真結束。 
FPGA DDR3 Demo的測試和自建仿真平台 

需要仿真的話,在生成IP核的時候,auto-calibration mode ————skip calibration. 

FPGA DDR3 Demo的測試和自建仿真平台 
4.檢視仿真波形 
FPGA DDR3 Demo的測試和自建仿真平台 
FPGA DDR3 Demo的測試和自建仿真平台 

二:自建仿真平台:

1.寫例化DDR3 IP核的頂層子產品,将DDR3子產品IP核例化一下。并且模闆中的rst改成用系統的複位信号。時鐘用系統的時鐘信号。 

FPGA DDR3 Demo的測試和自建仿真平台 
FPGA DDR3 Demo的測試和自建仿真平台 
FPGA DDR3 Demo的測試和自建仿真平台 

2.将sim下的mentor裡面的tcl和仿真Demo中的do檔案放到自己的目錄下(tcl不是用這裡面的tcl檔案)。 

FPGA DDR3 Demo的測試和自建仿真平台 
FPGA DDR3 Demo的測試和自建仿真平台 

3.寫仿真tb檔案,模仿Demo的tb檔案就行,将ddr3_ip_example_sim.v這個檔案複制到自己的檔案夾中, 

FPGA DDR3 Demo的測試和自建仿真平台 
FPGA DDR3 Demo的測試和自建仿真平台 
FPGA DDR3 Demo的測試和自建仿真平台 

然後将仿真檔案中需要用到的檔案放到sim檔案夾中。 

FPGA DDR3 Demo的測試和自建仿真平台 

4.更改tcl腳本檔案。主要是要修改TOP_LEVEL_NAME和 

QSYS_SIMDIR這個路徑。然後将你自己寫的頂層子產品添加到目錄下。因為tb檔案還包含了.sv字尾的檔案,vlog後面要+ -sv參數去編譯這個檔案。 

FPGA DDR3 Demo的測試和自建仿真平台 
FPGA DDR3 Demo的測試和自建仿真平台 

5.修改do檔案 

将do檔案改成你自己需要觀看波形的檔案名,将add wave改成你想要檢視波形的名字。 

FPGA DDR3 Demo的測試和自建仿真平台 

6.然後将modelsim的路徑改到你自己的路徑下,運作do檔案即可 

FPGA DDR3 Demo的測試和自建仿真平台 

7.自建仿真平台的波形 

FPGA DDR3 Demo的測試和自建仿真平台