天天看點

VIVADO 如何添加IP生成的例子到自己工程中使用

VIVADO 如何添加IP生成的例子到自己工程中使用

XILINX為我們提供了豐富的IP核例程供我們學習,但是IP核生成的例子單獨使用的作用很有限,我們往往需要與自己制作的工程相結合使用。

本次以DDR3 IP核為例:

将IP生成的例子到自己工程的步驟如下:

步驟1:準備,兩個工程,IP例子工程mig_7series_0_ex 自己工程user_code

VIVADO 如何添加IP生成的例子到自己工程中使用

步驟2:打開自己工程

VIVADO 如何添加IP生成的例子到自己工程中使用

步驟3:點選添加檔案

VIVADO 如何添加IP生成的例子到自己工程中使用

步驟4:選擇…design sources

VIVADO 如何添加IP生成的例子到自己工程中使用

步驟5:點選 Add Files

VIVADO 如何添加IP生成的例子到自己工程中使用

步驟6:進入IP核例子工程檔案夾下的imports檔案夾

VIVADO 如何添加IP生成的例子到自己工程中使用

步驟7:全選,點選OK

VIVADO 如何添加IP生成的例子到自己工程中使用

process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9nLmNzZG4ubmV0L3FxXzM1NjYwMTQ0,size_16,color_FFFFFF,t_70)

步驟8:勾選Copy…

VIVADO 如何添加IP生成的例子到自己工程中使用

步驟9:檔案夾iimports裡面包含測試檔案,需要将測試檔案删掉

VIVADO 如何添加IP生成的例子到自己工程中使用

步驟10:

VIVADO 如何添加IP生成的例子到自己工程中使用

步驟11:

VIVADO 如何添加IP生成的例子到自己工程中使用

步驟12:此時工程上顯示還剩下MIG IP核沒有添加

VIVADO 如何添加IP生成的例子到自己工程中使用

步驟13:繼續剛剛操作

VIVADO 如何添加IP生成的例子到自己工程中使用

步驟14:IP核路徑在IP核例子檔案夾下的…\mig_7series_0_ex\mig_7series_0_ex.srcs\sources_1\ip\mig_7series_0中,選擇mig_7series_0.xci點選OK

VIVADO 如何添加IP生成的例子到自己工程中使用

步驟15:操作一樣

VIVADO 如何添加IP生成的例子到自己工程中使用

步驟16:将上面紅框的測試檔案删掉,下面紅框的檔案既是IP核例子工程的全部,此時可以打開例子工程對比一下。

VIVADO 如何添加IP生成的例子到自己工程中使用

最後便可以對工程進行例化調用了。