天天看點

3nm争奪戰打響

來源:内容由半導體行業觀察(ID:icbank)原創,作者:龔佳佳,謝謝。

時至今日,晶片設計巨頭的高端系列晶片已經在7nm及以下的先進制程中搏鬥。不僅如此,先進制程更是成為了台積電等代工龍頭的“吸金密碼”。2021年台積電總營收為15874.2億新台币(約合人民币3658.3億元),其中,5納米和7納米合計營收占總營收50%,可以說扛起了台積電營收的半壁江山。

然而,随着高性能計算需求的增加,晶片制程的戰火也逐漸從5nm蔓延到了3nm。3nm争奪戰的“槍聲”已經打響。

設計企業的“産能之争”

目前晶片已經來到了先進制程時代,鑒于能采用先進制程的代工廠隻有台積電和三星兩家,而需要先進制程工藝的晶片設計企業卻有英特爾、蘋果、高通、AMD、英偉達等數家,實打實處于“僧多肉少”的局面。對晶片企業來說,晶片工藝的比拼其實就是産品性能的比拼,為了不輸給對手,晶片企業不得不開始上演一場“産能争奪戰”。

從已經量産的5nm來看,業界傳聞英偉達上個季度向台積電支付了 16.4 億美元以保留其在 5nm中的份額,另外 17.9 億美元将在 2022 年第一季度支付。據悉,英偉達将花費近 100 億美元來確定其為 RTX 4080、4090 及其 40 系列提供 5nm 的晶片供應。

對于一個量産近2年,可以稱得上是穩定良率的制程,英偉達都要斥百億美元的巨資確定産能,可想而知,面對一個全新的制程,廠商的競争隻會更激烈,這決定着誰會是全球首款3納米晶片。

目前來看,英特爾、蘋果、高通、AMD等都已加入戰局。

英特爾

雖然英特爾是IDM企業,但目前他在先進制程方面離3nm仍有距離。在2022年投資者大會上,英特爾曾表示Intel 4也就是7nm預計在2022年下半年投産;Intel 3(第二代7nm)預計在2023年下半年投産;Intel 20A(5nm)将于2024年投産;Intel 18A(第二代5nm)預計在2025年投産。

3nm争奪戰打響

換句話說,英特爾的3nm還遙遙無期,但技術競争并不會給英特爾喘息時間,此前由于英特爾無法按時生産7nm,導緻AMD在CPU技術上領先,為了重返霸主寶座,英特爾必須争3nm。也正是因為這個原因,英特爾成為了台積電3nm 産能的競争者之一,與蘋果分庭抗禮。

去年有消息稱,英特爾擠掉蘋果成為台積電3nm工藝首批客戶,主要生産其下一代處理器和圖形産品。當時的供應鍊指出,英特爾下給台積電的産品包括一顆圖形處理器及三個伺服器處理器,首批數量約4000片,預計2022年5月正式産出交貨,7月放量生産。

據業界報道,為了争搶産能,去年12月,英特爾CEO基爾辛格曾乘坐私人專機訪台,希望争取到未來2~3年更多台積電先進工藝産能,涵蓋制程包括7nm及優化後的6nm、5nm及優化後的4nm、以及3nm等。當時傳言指出,對于3nm工藝,英特爾提出希望能與蘋果一樣,台積電能夠為英特爾建造一條3nm産能特供專線。

一個月後,也就是2022年1月,就有消息傳出台積電計劃在其中國台灣北部的新生産基地專門開辟新産線為英特爾生産3納米晶片,該生産基地位于新竹市寶山區。該消息人士稱,英特爾希望台積電利用3nm制制造技術,為其生産CPU和GPU的零部件。

從上述來看,英特爾在争3nm産能中是打了一場漂亮的仗。

蘋果

在此前釋出的晶片線路圖中,蘋果預期在2023年釋出基于3nm的第三代M系列晶片,并選擇由台積電代工。

2020年時候,關于台積電3nm産能的新聞還全是,“蘋果是第一個和台積電簽約3nm制程産能的廠商”、“全是蘋果的,台積電3nm首批産能,iPhone全包”諸如此類,但計劃永遠趕不上變化,到了2021年下半年,英特爾的“橫插一腳”讓蘋果失去了台積電3nm“獨寵”的資格。

作為台積電十年老客戶且還是最大的客戶,蘋果擁有的3nm産能應該不會少到哪裡去,目前來看,為了台積電的3nm産能,蘋果已經預付了大量的款項。但在4nm方面,蘋果曾不得不接受台積電的漲價(但漲幅将低于其它先進制程客戶)以確定産能,并包下12-15萬片4nm産能(2022年平均價格約較2021年上漲8-10%),到了3nm階段,多少可能也會“曆史再現”。

高通

去年12月曾有消息稱高通有意導入三星 3nm 制程,但從最近的消息來看,情勢可能生變。據韓媒報道,高通據稱已将3nm AP處理器的訂單交給台積電,将于明年推出,而造成訂單轉移的原因在于,三星的先進工藝節點面臨産量問題。

高通也是台積電的重量級客戶,此前有意将3nm訂單交于三星也是因為争不過蘋果在台積電的地位,為了確定足夠的産能才轉向三星,如今再傳出将訂單交給台積電說到底也是因為擔心三星産量問題。

AMD

據台媒《工商時報》去年5月報道,AMD 已向台積電預訂2022及後兩年 5nm 及 3nm 産能,預計 2022 年推出 5nm Zen 4 架構處理器,2023~2024 年間将推出 3nm Zen 5 架構處理器。不過和高通一樣,AMD也曾傳出了因為産能問題試圖轉單三星的消息,由此看出,3nm産能不夠可能将成為台積電損失客戶的“原罪”。

從目前的局勢來看,随着本土GPU、DPU廠商的崛起,未來會有越來越多企業加入3nm的産能争奪戰之中,屆時局面相比當下或許會更加熱鬧。

代工廠的“3nm之戰”

在晶片設計企業還在為産能“明争暗鬥”的時候,晶圓制造領域又是另外一番景象。對晶圓制造廠來說,眼下更重要的是3nm的突破。誰率先量産了3nm,誰就将占領未來晶圓制造産業的制高點,甚至還會影響AMD、英偉達等晶片巨頭的産品路線圖。

毫無疑問,在3nm這個節點,目前能一決雌雄的隻有台積電和三星,但英特爾顯然也在往先進制程方面發力。不過從近日的消息來看,台積電和三星兩家企業在量産3nm這件事上進行的都頗為坎坷。Gartner 分析師 Samuel Wang表示,3nm 的斜坡将比之前的節點花費更長的時間。

台積電

近日,一份引用半導體行業消息來源的報告表明,據報道,台積電在其 3nm 工藝良率方面存在困難。消息來源報告的關鍵傳言是台積電發現其 3nm FinFET 工藝很難達到令人滿意的良率。但到目前為止,台積電尚未公開承認任何 N3 延遲,相反其聲稱“正在取得良好進展”。

衆所周知,台積電3nm在半導體方面采用鳍式場效應半導體(FinFET)結構,FinFET運用立體的結構,增加了電路閘極的接觸面積,進而讓電路更加穩定,同時也達成了半導體制程持續微縮的目标。其實,FinFET半導體走在3nm多多少少已是極限了,再向下将會遇到制程微縮而産生的電流控制漏電等實體極限問題,而台積電之是以仍選擇其很大部分原因是不用變動太多的生産工具,也能有較具優勢的成本結構。特别對于客戶來說,既不用有太多設計變化還能降低生産成本,可以說是雙赢局面。

從此前公開資料顯示,與5nm晶片相比,台積電3nm晶片的邏輯密度将提高75%,效率提高15%,功耗降低30%。據悉,台積電 3nm 制程已于2021年3 月開始風險性試産并小量交貨,預計将在2022年下半年開始商業化生産。

3nm争奪戰打響

來源:台積電

從工廠方面來看,中國台灣南科18廠四至六期是台積電3nm量産基地。客戶方面,從上文可以看出,英特爾、蘋果、高通等都選擇了台積電。大摩分析師Charlie Chan日前發表報告稱,台積電在2023年的3nm晶片代工市場上幾乎是壟斷性的,市場佔有率接近100%。

3nm争奪戰打響

三星

不同于台積電在良率方面的問題,三星在3nm的困難是3 納米GAA 制程建立專利IP 數量方面落後。據南韓媒體報道,三星缺乏3 納米GAA 制程相關專利,令三星感到不安。

三星在半導體方面采用的是栅極環繞型 (Gate-all-around,GAA) 半導體架構。相比台積電的FinFET半導體,基于GAA的3nm技術成本肯定較高,但從性能表現上來看,基于GAA架構的半導體可以提供比FinFET更好的靜電特性,滿足一定的珊極寬度要求,可以表現為同樣工藝下,使用GAA架構可以将晶片尺寸做的更小。

3nm争奪戰打響

平面半導體、FinFET與GAA FET

與5nm制造技術相比,三星的3nm GAA技術的邏輯面積效率提高了35%以上,功耗降低了50%,性能提高了約30%。三星在去年6月正式宣布3nm工藝制程技術已經成功流片。此外,三星還曾宣布将在 2022 年推出 3nm GAA 的早期版本,而其“性能版本”将在 2023 年出貨。

目前,在工廠方面,此前有消息稱三星可能會在美國投資170億美元建設3nm晶片生産線。在客戶方面,三星未有具體透露,但曾有消息稱高通、AMD 等台積電重量級客戶都有意導入三星 3nm 制程,但介于上述提到的韓媒報道高通已将其3nm AP處理器的代工訂單交給台積電,三星3nm客戶仍成謎。

在Pat Gelsinger于去年擔任英特爾CEO之後,這家曾經在代工領域試水的IDM巨頭又重新回到了這個市場。同時,他們還提出了很雄壯的野心。

在本月18日投資人會議上,英特爾CEO Pat Gelsinger再次強調,英特爾2nm制程将在2024年上半年可量産,這個量産時間早于台積電,意味2年後晶圓代工業務與台積電競争态勢會更白熱化。

雖然在3nm工藝方面,英特爾沒有過多的透露,但是Digitimes去年的研究報告分析了台積電、三星、Intel及IBM四家廠商在相同命名的半導體制程工藝節點上的半導體密度問題,并對比了各家在10nm、7nm、5nm、3nm及2nm的半導體密度情況。

報告顯示,到了3nm節點,台積電的半導體密度大約是2.9億個/mm ,三星隻有1.7億個/mm ,英特爾将達到5.2億個/mm 。英特爾的半導體密度比台積電高出了超過79%,達到了三星2倍以上。是以就摩爾定律關注的半導體密度名額來看,在同一制程工藝節點上,英特爾相比台積電、三星更新一代的制程工藝具有一定的優勢。

在工廠方面,英特爾曾強調将斥資800億歐元在歐洲設廠,英特爾德國負責人Christin Eisenschmid受訪時透露,将在歐洲生産2nm或推進更小的晶片。英特爾将2nm作為擴大歐洲生産能力的重要關鍵,以避免未來在先進技術競争中落後。

總的來說,在3nm節點,台積電、三星和英特爾誰會是最後的赢家可能隻有交給時間來判定,但從目前情勢來看,台積電或略勝一籌。

3nm後的解法

3nm已經到了摩爾定律的實體極限,往後又該如何發展?這已經成為全球科研人員亟待尋求的解法。目前,研究人員大多試圖在半導體技術、材料方面尋求破解之法。

GAA半導體

上述三星在3nm制程中使用的GAA半導體就是3nm後很好的選擇,GAA設計通道的四個面周圍有栅極,可減少漏電壓并改善對通道的控制,這是縮小工藝節點時的關鍵。據報道,台積電在2nm工藝上也将采用GAA半導體。

納米線

納米線是直徑在納米量級的納米結構。納米線技術的基本吸引力之一是它們表現出強大的電學特性,包括由于其有效的一維結構而産生的高電子遷移率。

最近,來自 HZDR 的研究人員宣布,他們已經通過實驗證明了長期以來關于張力下納米線的理論預測。在實驗中,研究人員制造了由 GaAs 核心和砷化铟鋁殼組成的納米線。最後,結果表明,研究人員确實可以通過對納米線施加拉伸應變來提高納米線的電子遷移率。測量到未應變納米線和塊狀 GaAs 的相對遷移率增加約為 30%。研究人員認為,他們可以在具有更大晶格失配的材料中實作更顯着的增加。

堆疊叉片式半導體技術

最近,英特爾一項關于“堆疊叉片式半導體(stacked forksheet transistors)”的技術專利引起了人們的注意。

英特爾表示,新的半導體設計最終可以實作3D和垂直堆疊的CMOS架構,與目前最先進的三栅極半導體相比,該架構允許增加半導體的數量。在專利裡,英特爾描述了納米帶半導體和鍺薄膜的使用,後者将充當電媒體隔離牆,在每個垂直堆疊的半導體層中重複,最終取決于有多少個半導體被互相堆疊在一起。

3nm争奪戰打響

據了解,英特爾并不是第一家引用這種制造方法的公司,比利時研究小組Imec在2019年就曾提出這個方法,根據 Imec 的第一個标準單元模拟結果,當應用于 2nm 技術節點時,與傳統的納米片方法相比,該技術可以顯着提高半導體密度。

垂直傳輸場效應半導體

垂直傳輸場效應半導體(VTFET)由IBM和三星共同公布,旨在取代目前用于當今一些最先進晶片的FinFET技術。新技術将垂直堆疊半導體,允許電流在半導體堆疊中上下流動,而不是目前大多數晶片上使用的将半導體平放在矽表面上,然後電流從一側流向另一側。

據 IBM 和三星稱,這種設計有兩個優點。首先,它将允許繞過許多性能限制,将摩爾定律擴充到 1 納米門檻值之外。同時還可以影響它們之間的接觸點,以提高電流并節約能源。他們表示,該設計可能會使性能翻倍,或者減少85%的能源消耗。

其實,對于3nm以後先進制程如何演進,半導體制造隻是解決方案的一部分,晶片設計也至關重要,需要片上互連、組裝和封裝等對器件和系統性能的影響降至最低。

寫在最後

關于3nm的争奪,上面隻是講述了冰山一角。其實圍繞着整個産業鍊的每一個環節,幾乎都有多家廠商在上面進行争奪。這也正是內建電路發展至今的關鍵。

另外,雖然摩爾定律已經到了極限,但是無論設計企業還是制造廠又或是封裝廠,他們對于先進制程研發的步伐不能停止。往小了說,先進制程關乎産業鍊的發展,往大了說,先進制程關乎着國家安全。未來世界科技為王,落後就要挨打,這是金規鐵律。

繼續閱讀