天天看点

XILINX HLS官方文档

如何向vivado中添加约束constraints?

关于constraints文件的格式: XDC(Xilinx Desin Constraints)约束文件

详情参看文档:ug945-vivado-using-constraints-tutorial 以及 ug903-Vivado Design Suite User Guide:Using Constraints

XILINX HLS官方文档

(1) UG973-Release Notes, Installation, and Licensing

宿舍电脑新安装的2018.2版本license存在问题,而且不支持全部器件(问题待解决)

(2) UG949-UltraFast Design Methodology Guide for the Vivado Design Suite

超高速设计方法学?

(3) UG1231-UltraFast Design Methodology Quick Reference Guide

介绍了FPGA从PCB到设计分析的完整流程。

(4) UG1197-UltraFast High-Level Productivity Design Methodology Guide

从系统以及基于C语言的角度来介绍设计方法。

(5) UG1270-Vivado HLS optimization Methodology Guide

HLS的方法,未深入学习,先做标注。

(6) UG1046-UltraFast Embedded Design Methodology Guide

FPGA的嵌入式应用

(7) UG1026-UltraScale Architecture Migration

器件的移植,可能在应用中会接触到。此外提问7系列与UltraScale器件的区别

(8) UG998-Introduction to FPGA Design with Vivado High-Level Synthesis

HLS设计的介绍

(9) UG911-ISE to Vivado Design Suite Migration Guide

ISE至vivado的转移:没有用过ISE

(10) UG1192-Xilinx Design Flow for Intel FPGA and SoC Users

Intel与Xilinx的应用转换,还附有几个设计代码。

(11) UG910-Vivado Design Suite User Guide:Getting Started

上手设计的介绍性文档,内容简略。

(12) UG892-Vivado Design Suite User Guide:Design Flows Overview

设计流程概述

(13) UG893-Vivado Design Suite User Guide:Using the Vivado IDE

vivado集成开发环境的设置和操作

(14) UG894-Vivado Design Suite User Guide:Using Tcl Scripting

tcl命令

(15) UG895-Vivado Design Suite User Guide:System-Level Design Entry

系统级设计方法

(16) UG896-Vivado Design Suite User Guide:Designing with IP

基于IP的设计方法

(17) UG1118-Vivado Design Suite User Guide:Creating and Packaging Custom IP

创建以及封装用户定制IP

(18) UG994- Vivado Design Suite User Guide:Designing IP Subsystems Using IP Integrator

利用 IP集成器?来设计IP子系统

(19) UG898-Vivado Design Suite User Guide:Embedded Processor Hardware Design

FPGA作为嵌入式处理器的硬件设计(没有看到PCB信息,应该是系统级,稍后学习)

(20) UG897-Vivado Design Suite User Guide: Model-Based DSP Design Using System Generator

Matlab System Generator工具进行FPGA数字信号处理设计

(21) UG1262-Model Composer User Guide

暂时还未接触过Model Composer

(22) UG902-Vivado Design Suite User Guide: High-Level Synthesis

HLS详细的指南

(23) UG899-Vivado Design Suite User Guide:I/O and Clock Planning

I/O以及时钟规划

(24) UG900-Vivado Design Suite User Guide:Logic Simulation

逻辑仿真方法:对于验证来说比较重要

(25) UG440-Xilinx Power Estimator User Guide

功耗估计器?

(26) UG903-Vivado Design Suite User Guide: Using Constraints

使用约束

(27) UG901-Vivado Design Suite User Guide:Synthesis

综合

(28) UG904-Vivado Design Suite User Guide:Implementation

执行?

(29) UG905-Vivado Design Suite User Guide:Hierarchical Design

层次设计

(30) UG909-Vivado Design Suite User Guide: Partial Reconfiguration

部分重配置?

(31) UG907-Vivado Design Suite User Guide: Power Analysis and Optimization

功率分析以及最优化

(32) UG906-Vivado Design Suite User Guide:Design Analysis and Closure Techniques

设计分析以及环路?技术

(33) UG908-Vivado Design Suite User Guide:Programming and Debugging

向FPGA中编程以及调试

(34) UG975-Vivado Design Suite Quick Reference

vivado设计的快速指南

(35) UG835-Vivado Design Suite Tcl Command Reference Guide

tcl命令

(36) UG912-Vivado Design Suite Properties Reference Guide

各类参数

(37) UG953-Vivado Design Suite 7 Series FPGA and Zynq-7000 All Programmable SoC Libraries Guide

7系列FPGA以及Zynq的库

(38) UG974-UltraScale Architecture Libraries Guide

ultrascale架构库

(39) UG958-Vivado Design Suite Reference Guide:Model-Based DSP Design Using System Generator

使用System Generator工具

(40) UG984-MicroBlaze Processor Reference Guide

MicroBlaze软核

(41) Quick Start Guide: MicroBlaze Soft Processor Presets

MicroBlaze软核的快速入门

(42) UG1138-Generating Basic Software Platforms Reference Guide

软件环境?

(43) UG1037-Vivado Design Suite AXI Reference Guide

AXI总线

(44) UG888-Vivado Design Suite Tutorial Design Flows Overview

设计流程指南

(45) UG939-Vivado Design Suite Designing with IP Tutorial

IP指南

(46) UG1119-Vivado Design Suite Creating, Packaging Custom IP Tutorial

创建,封装定制IP指南

(47) UG995-Vivado Design Suite Tutorial Designing IP Subsystems Using IP Integrator

通过IP集成器?进行IP子系统设计指南

(48) UG940-Vivado Design Suite Tutorial Embedded Processor Hardware Design

嵌入式处理器硬件设计指南

(49) UG1209-Zynq UltraScale+ MPSoC: Embedded Design Tutorial A Hands-On Guide to Effective Embedded System design Zynq UltraScale+高效嵌入式系统设计指南

(50) UG1165-Zynq-7000 All Programmable SoC: Embedded Design Tutorial A Hands-On Guide to Effective Embedded System Design Zynq 7000高效嵌入式系统设计指南

(51) UG871-Vivado Design Suite Tutorial High-Level Synthesis

HLS指南

(52) UG948-Vivado Design Suite Tutorial Model-Based DSP Design Using System Generator

System Generator指南

(53) UG1259-Tutorial: Model-Based Design Using Model Composer

Model Composer指南

(54) UG937-Vivado Design Suite Tutorial Logic Simulation

逻辑仿真指南

(55) UG945-Vivado Design Suite Tutorial Using Constraints

约束指南

(56) UG986-Vivado Design Suite Tutorial Implementation

执行指南

(57) UG947-Vivado Design Suite Tutorial Partial Reconfiguration

部分重配置指南

(58) UG938-Vivado Design Suite Tutorial Design Analysis and Closure Techniques

设计分析与环路?技术指南

(59) UG997-Vivado Design Suite Tutorial Power Analysis and Optimization

功率分析以及最优化指南

(60) UG936-Vivado Design Suite Tutorial Programming and Debugging

对FPGA编程以及调试指南

(61) UG1198-Vivado Design Suite Tutorial Revision Control Systems

修订控制系统指南?

HLS