天天看点

Modelsim之tcl脚本语言控制仿真

quit -sim  #退出仿真
.main clear 
# Create the work library 
vlib work

vmap work work

# Compile the verilog files

vlog  key_counter_scan.v  
#编译.v文件,文件名用自己的.v文件替代

vlog  key_counter_scan_TB.v 

# Run simulation

vsim  -voptargs=+acc key_counter_scan_TB  
#仿真,不优化,否则没有波形

#Add all the top signals

add  wave *      

#The time of run

run 800us
           

用TCL操作仿真,可以减少界面操作时间,便于程序调试。

常用的一些命令:

退出当前仿真quit -sim

vlib work

#编译修改后的文件,我这里把设计文件和仿真文件分开放了,所以写两个。vlog "../Src/*.v"

vlog "../Sim/*.v"

#开始仿真

vsim -voptargs=+acc work.tb_Cordic_Cos_Sin

#添加指定信号

#添加顶层所有的信号

# Set the window types

# 打开波形窗口

view wave

view structure

# 打开信号窗口

view signals

# 添加波形模板

add wave -divider {tb_Cordic_Cos_Sin}

add wave tb_Cordic_Cos_Sin/*

add wave -divider {tb_Cordic_Cos_Sin}

add wave tb_Cordic_Cos_Sin/uut/*

.main clear

#运行xxms

run 100us

不必每次都输入指定do文件的命令,在脚本控制台直接按上键就会显示上一个执行过的命令。