天天看点

Vivado - 软件使用之下载配置流程

博主福利:100G+电子设计学习资源包!

​​http://mp.weixin.qq.com/mp/homepage?__biz=MzU3OTczMzk5Mg==&hid=7&sn=ad5d5d0f15df84f4a92ebf72f88d4ee8&scene=18#wechat_redirect​​ --------------------------------------------------------------------------------------------------------------------------

1. 在Flow Navigator中点击Program and Debug下的Generate Bitstream选项,工程会自动完成综合、实现、Bit文件生成过程,完成之后,可点击Open Implemented Design 来查看工程实现结果。

Vivado - 软件使用之下载配置流程
Vivado - 软件使用之下载配置流程

2. 点击Flow Navigator中的Open Hardware Manager一项,进入硬件编程管理界面。

Vivado - 软件使用之下载配置流程

3. 在Flow Navigator中展开Hardware Manager ,点击Open New Target。

Vivado - 软件使用之下载配置流程

4. 在弹出的Open hardware target向导中,先点击Next,进入Server选择向导。

Vivado - 软件使用之下载配置流程

5. 保持默认,next。

Vivado - 软件使用之下载配置流程

6. 选中FPGA芯片型号,点击Next。完成新建Hardware Target。

Vivado - 软件使用之下载配置流程
Vivado - 软件使用之下载配置流程

7. 此时,Hardware一栏中出现硬件平台上可编程的器件。(此处以zynq为例,如果是纯的FPGA的平台,该出只有一个器件。)在对应的FPGA器件上右击,选择Program Device。

Vivado - 软件使用之下载配置流程

继续阅读