天天看点

Sublime Text 3 配置 Verilog 语法检测环境问题

安装Package Control

Ctrl + ` 打开底端窗口输入以下内容安装 Package Control

import urllib.request,os; pf = 'Package Control.sublime-package'; ipp = sublime.installed_packages_path(); urllib.request.install_opener( urllib.request.build_opener( urllib.request.ProxyHandler()) ); open(os.path.join(ipp, pf), 'wb').write(urllib.request.urlopen( 'http://sublime.wbond.net/' + pf.replace(' ','%20')).read())
           

安装插件

Ctrl + Shift + P 打开命令面板,输入Install Package,可获得插件目录,选择verilog,systemverilog, SublimeLinter,SublimeLinter-contrib-iverilog插件

安装iverilog

下载 iverilog,http://bleyer.org/icarus/,并安装

配置SublimeLinter

在sublime界面点击Preference -> package setting -> SublimeLinter -> setting

输入以下配置

"paths": {
       "linux": [],
       "osx": [],
       "windows": [
           "D:\\iverilog\\bin",  // iverilog安装路径
       ],
   },

   "linters": {
       "iverilog": {
           "disable": false,
           "args": ["-i"], // add the "-i" flag
           "excludes": [],
       },
   },
           

即可完成verilog的语法检测功能,实时检测语法错误

问题

遇到问题仔细研究README以及提示的帮助链接,编写setting可多参考给出的官方模板