天天看點

內建電路晶片半導體中英文對照術語詞彙表1-9ABCDEFGHIJKLMNOPQRSTUVWXYZ

英語 中文

1-9

 
10 gigabit 10 Gb
1st Nyquist zone 第一奈奎斯特區域
3D full‑wave electromagnetic solver 3D 全波電磁解算器
3-state 三态
4th generation segmented routing 第四代分層布線技術
5G commercialization 5G 商用
7 series FPGA 7 系列 FPGA

A

 
Absolute Maximum Rating 絕對最大額定值
academic 學術
accelerated flow 加速流程
acceleration 加速
accelerator 加速器
accelerator card 加速器卡
acceptance filter 驗收過濾器
Access lounge 使用者通路專區
Accessible 友善易用
accumulator 累加器
activation 激活
active clock edge 有效時鐘沿
active cooling 主動散熱
Active Cooling 主動散熱
active I/O termination 有源 I/O 終端
active interconnect 有源互連 (Active Interconnect)
active partial reconfiguration 動态部分重配置
Active Power 有功功耗
Active State Power Manager (ASPM) 活動狀态功耗管理器 (ASPM)
active-High 高電平有效
active-Low 低電平有效
adaptable 打造靈活
Adaptable Computing Acceleration Platform 自适應計算加速平台
adaptation time 調整時間
Adaptive Computing 自适應計算
adaptive delay 自适應延遲
adder 加法器
adder-chain 加法鍊
additional topics 其它内容
address 位址
address map 位址映射
Address Resolution Protocol (ARP) 位址解析協定 (ARP)
Adjacent channel leakage ratio 相鄰信道洩漏比
adoption option 選購方案
Advanced Driver Assistance System 進階駕駛輔助系統 (ADAS)
Advanced Linux Sound Architecture (ALSA) 先進的 Linux 音頻架構 (ALSA)
Advanced Peripheral Bus (APB) 進階外設總線 (APB)
advanced search 進階搜尋
advanced silicon modular block 進階矽片組合子產品
aerospace 航空航天
affinity 親和性
aggregate 聚合
aggressor 幹擾源
AI Engine AI 引擎
air flow|airflow 氣流
alarm 告警
alert 警報
algorithm 算法
algorithmic design 算法設計
all static power 全靜态功耗
alpha blending α 混合
Ambient Temperature 環境溫度
American National Standards Institute 美國國家标準學會 (ANSI)
amplitude 振幅
Analog supply current 模拟供電電流
Analog supply voltage 模拟供電電壓
analog-to-digital converter 模數轉換器
analysis 分析
analyze 分析
anatomy 剖析
annotation 反标|注釋
Answer Record 答複記錄
anti-aliasing 抗混疊
anti-imaging 抗成像
anti-pad 反焊盤
Anti-Resonance 抗諧振
Anti-Tamper|anti-tamper (AT) 防篡改 (AT)
apertures 間隙
apparent sharpness 圖像視覺清晰度
application 應用
application note 應用指南
Application processing Unit 應用處理單元
Application processing Unit (APU) 應用處理單元 (APU)
apply 應用
arbiter 仲裁器
arbitrator 仲裁器
architecture 架構
archive 存檔
area 面積|區域|領域|邏輯資源
area efficiency 面積效率
argument 實參
Arm Trusted Firmware (ATF)|Arm Trusted Firmware Arm 可信固件 (ATF)
array 陣列|數組
artificial intelligence (AI) 人工智能 (AI)
assembly 裝配
assert 有效|斷言
assert block Assert 子產品
assign 配置設定
assignment 指派
associative property 結合律
asymmetric multi-processing (AMP) 非對稱多核處理器 (AMP)
Asynchoronous Transfer Mode 異步傳輸模式 (ATM)
asynchronous 異步|異步的
Atomic Operation|Atomic Op 原子操作
Attachment Unit Interface 連接配接單元接口
Attenuation 衰減
attribute 屬性
audio 音頻
augment 增強
aultiply-add/subtract 乘累加/乘累減
authentication 驗證
Authentication Certificate 身份驗證證書
auto electronics 汽車電子
automatic bus width detection 自動總線寬度探測
Automatic Test Equipment (ATE) 自動測試裝置 (ATM)
automotive 汽車
automotive temperature device 汽車級溫度範圍器件
auto-negotiation 自動協商
AUX power connector AUX 電源連接配接器
Avionics 航空電子
AXI coherency extension AXI 一緻性擴充
AXI coherency extension (ACE) AXI 一緻性擴充 (ACE)

B

 
Back Pressure 反壓
backgrounder 背景資料
back-off  algorithm 退避算法
backplane 背闆
backtick 反引号
bad frame 壞幀
ball fine pitch BGA 球栅精确栅距 (Ball Fine Pitch) BGA|Ball Fine Pitch BGA
ball fine pitch metal BGA - cavity down 球栅精确栅距金屬 (Ball Fine Pitch Metal) BGA - Cavity Down|Ball Fine Pitch Metal BGA - Cavity Down
ball fine pitch thin BGA 球栅精确栅距薄型 (Ball Fine Pitch Thin) BGA|Ball Fine Pitch Thin BGA
ball grid array (BGA) 球形栅格陣列 (BGA)
ball metal BGA - cavity down 球栅金屬 (Ball Metal) BGA - Cavity Down|Ball Metal BGA - Cavity Down
ball plastic BGA 球栅塑膠 (Ball Plastic) BGA|Ball Plastic BGA
Balun 平衡轉換器
bandwidth 帶寬
bank bank
banking bank 配置設定
bare-metal|bare metal 裸機
base address 基址
base board 基礎闆
base logic partition 基本邏輯分區
Base System Builder Wizard Base System Builder 向導
baseband 基帶
baseline 基線
batch operation 批處理
battery 電池
battery backup 備份電池
battery life estimate 電池壽命估算
battery-backed RAM 電池供電式 RAM
baud rate 波特率
beaconing 信标
beamforming 波束成形
behavior simulation 行為仿真
behavioral level 行為級
Bell Labs Layered Space Time 貝爾實驗室分層空時 (BLAST)
Bellagio OpenMAX Integration Layer (OMX IL) Bellagio OpenMAX 內建層 (OMX IL)
benchmark 标準測試 (Benchmark)|标準測試
BER 誤碼率
best design practice 最佳設計實踐
Best Practice 最佳實踐
bias 偏差
bidirectional|bi-directional 雙向
bifurcation 分叉
big endian 大位元組序
Big Endian 大位元組序
bin 二進制
bit 比特|位
bit depth 位深度
bit error 位(元)錯誤
bit-error rate tester 誤碼率測試器
bit-reverse algorithm 位反轉算法
bitstream 比特流
bitwise logical functionality 按位邏輯功能
black key 黑密鑰
blade connectivity 刀片連接配接功能
blind via 盲孔
block
block automation 塊自動化設定
block diagram 原理圖|子產品框圖
block memory 塊存儲器
block RAM 塊 RAM
blocking 阻塞
blocking event 阻塞事件
board 電路闆|開發闆
board schematic 電路闆原理圖
board support package 闆級支援包
Boards and Kits 開發闆與套件
bond line thickness 粘合層厚度
bookkeeping data 簿記資料
boolean 布爾
boot 啟動
boot image 啟動鏡像
boot image 啟動鏡像
boot loader 啟動加載程式
boot sequence 啟動順序
bootloader 啟動加載程式
bottleneck 瓶頸
Boundary Scan Cell Diagram 邊界掃描單元原理圖
boundary-scan mode 邊界掃描模式
boundary-scan|boundary scan 邊界掃描
bounding box 邊界框
bracket 支架
BRAM 塊 RAM|BRAM
branch 分支
breadth-first search 廣度優先搜尋
breakout 分接
breakpoint 斷點
bring-up 初始化
broadband 寬帶
broadband access 寬帶接入
broadband fixed 固定寬帶
broadcast 廣播
broadcast address 廣播位址
Broadcast Comm 廣播通信
Broadcast Connectivity Kit 廣播連接配接功能套件
brochure 宣傳冊
browse 浏覽
browser 浏覽器
bubble sort 冒泡排序
buffer n. 緩沖器|v. 緩沖
buffer 緩沖區
buffered crossbar switch 緩沖式縱橫交換機
bug 漏洞
build 建構
building block 構模組化塊
built-in Error Checking and Correction (ECC) 内置式糾錯檢 (ECC) 功能
bundle 捆綁
burst 突發
burst size 突發量
bus 總線
Bus Functional Model 總線功能模型
bus functional model 總線功能模型
bus interface 總線接口
bus-width detection pattern 總線寬度探測碼形|總線寬度檢測位
Bypass 旁路
byte 位元組
byte- and word-wide configurations 單位元組及多位元組寬度配置
Byte Memory Planner 位元組存儲計劃器

C

 
cable 電纜
cache 高速緩存
Cache Coherent Interconnect 高速緩存一緻性互連
Cache Coherent Interconnect for Accelerators 加速器緩存一緻性互連
cache flush 緩存重新整理
cache hit 緩存命中
cage 外殼
calibration 校準
cancel 取消
capacitance 電容
capacitor 電容器
capture edge 捕獲沿
carousel 資料輪播
carrier card 載卡
Carrier Class Ethernet Services 電信級以太網業務
carrier signal 載波信号
carry chain 進位鍊
carry logic 進位邏輯
carry propagation 進位傳遞
cascadable 可級聯的
cascade 級聯
cascade connection 級聯連接配接
cascade-integrator-comb 級聯積分梳狀 (CIC)
cell 單元
cell bloating 單元膨脹
cellular network 蜂窩網絡
ceramic BGA 陶瓷 (Ceramic) BGA|Ceramic BGA
ceramic Brazed CQFP 陶瓷銅焊 (Ceramic Brazed) CQFP|Ceramic Brazed CQFP
ceramic DIP 陶瓷 (Ceramic) DIP|Ceramic DIP
Ceramic Packaging and Pinout Specifications 陶瓷封裝和管腳規範
ceramic PGA 陶瓷 (Ceramic) PGA|Ceramic PGA
ceramic quad 陶瓷方形 (Ceramic Quad)|Ceramic Quad
Ceramic Quad Flat Package (CQFP) 陶瓷四側引腳扁平封裝 (CQFP)
certification 認證
Change Notification 變更通知
change without risk 無風險設計修改
channel 通道|信道
channel bonding 通道綁定
channel matrix inversion 信道矩陣求逆
channel reordering 信道重新排序
characteristic impedance 特性阻抗
characterization 特性
characterization data 特性描述資料
Characterization Kit 特性描述套件
Characterization Kit 特性描述套件
check box 複選框
checklist 檢查表
checkpoint 檢查點
checkpoint verification 檢查點驗證
checksum offloading 解除安裝校驗和|解除安裝校驗和運算
cheksum 校驗和
Chinese (Simplified) 簡體中文
chip 晶片
chroma keying 色度鍵控
cipher block chaining 密碼分組連結
circuit 電路
Circuitry 電路
circular buffer 圓形緩沖器
clamp diode 鉗位二極管
clamshell 蛤殼
click 單擊
clock 時鐘
clock buffer 時鐘緩存
clock capable 能夠當作時鐘信号
clock crossing 跨時鐘
clock cycle 時鐘周期
clock domain 時鐘域
clock domain crossing|clock-domain-crossing 時鐘域交彙
clock edge 時鐘沿
clock enable 時鐘使能
clock frequency 時鐘頻率
clock gating 時鐘門控
clock input divide 時鐘輸入分頻
clock interaction 時鐘相關性
Clock Management 時鐘管理|時鐘控制
Clock Management Tile 時鐘管理子產品
clock net 時鐘信号線
clock net 時鐘信号線
clock network 時鐘網絡
clock network 時鐘網絡
clock pessimism removal (CPR) 時鐘消極因素移除 (CPR)
clock phase 時鐘相位
clock planning 時鐘規劃
clock polarity 時鐘極性
clock rate 時鐘速率
clock region 時鐘區域
clock root 時鐘根
clock sense 時鐘敏感
Clock Spine 時鐘軸
Clock throttling 時鐘降頻
clock topology 時鐘拓撲
clock tree 時鐘樹
clocking 時鐘|時鐘設定
clock-phase shifting 時鐘相位偏移
Cloud
CNN pruning CNN 剪枝
cockpit 考核中心
code 代碼|碼|編碼
code parameter 碼參數
code snippet 代碼片段
code word|codeword 代碼字|碼字
co-debug 協同調試
codec 編解碼器
coding 編碼
coefficient 系數
coherency 一緻性
Coherent Hub Interface 一緻性集線器接口
coherent mesh network 一緻性網狀網絡
collision-avoidance algorithm 避撞算法
color space 色彩空間
command 指令
command-line 指令行
comma-separated value 逗号分隔值
Commodity SPI 商用 SPI
Common-mode rejection ratio 共膜抑制比
common-mode voltage 共模電壓
communication 通信
communication link 通信鍊路
Community Relations 社群關系
commutative property 交換律
Company Fact Sheet 公司情況說明
comparator 比較器
compatibility 相容性
compilation 編譯
compile 編譯
compile order list 編譯次序清單
compiler 編譯器
complementer 補碼器
complex 複數
complex conjugate number 共轭複數
complex exponential 複指數
compliant 标準
component 元件
composable data center 可組合式資料中心
Composable Hardware 硬體可組合式
composite signal 複合信号
compression 壓縮
computational storage 計算存儲
computationally intensive 計算密集型
compute 計算
compute intensive 計算密集型
compute unit 計算單元
computer 計算機
computer peripheral 計算機外設
concatenate path 連接配接通路
concurrent assertion 并發斷言
concurrent processing 并發處理
conduction-cooled 傳導式散熱
cone
confidence 可信度
confidence level 信心級别
config 配置
configurable logic block 可配置邏輯塊
configuration 配置|設定
Configuration Status Register (CSR) 配置狀态寄存器 (CSR)
configuration storage device 配置存儲器件
configure 配置|設定
conformal LEC 保形 LEC
congestion 擁塞
connection 聯通|連接配接
connection automation 自動連接配接
connectivity 連接配接
Connectivity Card 連接配接功能卡
Connectivity Card 連接配接功能卡
Connectivity Kit 連接配接功能套件
Connectivity Kit 連接配接功能套件
connector 連接配接器
consideration 考慮因素
constant 常量|常數
constant 恒定
constraint 限制
constraint randomization 限制随機化
constraint set 限制集
Constraints Guide 限制指南
construct 建構|結構
constructor 構造函數
consumer 消費類|消費者
consumer function 使用者函數
container 容器
contention 争用
Contention 争用
context 上下文
control line 控制線路
controlled impedance 受控阻抗
controlled slew rate 可控轉換速率
controller 控制器
convergent rounding 收斂的(無偏)舍入
conversion specifier 轉換說明符
conversion-free 免轉換
converter 轉換器
conveyer belt 輸送帶
convolutional interleaver 卷積交織
co-processing 協處理
core
core inserter 核插入器
correct-by-construction 自動建構校正
correlator 相關器
co-simulation 協同仿真
cost function 成本函數
cost-optimized 成本優化
counter 計數器
Course Description 課程介紹
course schedule 課程安排
coverage 覆寫率
Create Import Peripheral 建立和導入外設 (CIP)
criteria 标準
critical path 關鍵路徑
cross probe|cross-probe 交叉探測
cross probing|cross-probing 交叉探測
cross triggering 交叉觸發
crossbar 交叉開關矩陣
cross-compiled 交叉編譯
crossing 跨|交彙
crosspoint 交叉點
crosspoint switch 交叉點交換機
Crosstalk 串擾
crystal oscillator 晶體振蕩器|晶振
crystal resonator 晶體諧振器
current draw 電流汲取
Curriculum Path 課程路徑
custom 定制
custom IP development 定制 IP 開發
customer 客戶
customer case study 客戶案例研究
Customer Notice 客戶通知
customer notification 客戶通知
customize 自定義
cycle 周期|循環
cyclic prefix insertion 周期字首插入
Cyclic Redundancy Check 循環備援校驗

D

 
data 資料
data beat 資料節拍
data bit location 資料比特位置
Data Cable 資料線纜
Data Cable 資料線纜
data center 資料中心
data center 資料中心
data communication 資料通信
data flow 資料流
data hungry 需要大量資料的
data line 資料線
data mask 資料掩碼
data path|datapath 資料路徑
data preamble 資料前同步碼
data regeneration controller 資料再生控制器
data sheet 資料手冊
data sheet|datasheet 資料手冊
data starvation 資料不足
database 資料庫
data-bus turnaround penalty 資料總線轉換損耗
dataflow 資料流
datapath width 資料路徑寬度
Daughter Card 子卡
Daughter Card 子卡
DC and AC Switching Characteristic DC 和 AC 開關特性
DC and Switching Characteristics 直流及開關特性
DC blocking capacitor 隔直電容
deassert|de-assert 無效|解除有效
deblocker 去塊效應
deblocking filter 去塊濾波器
debug 調試
Debug Card 調試卡
debug channel 調試通道
debug core 調試核
debugger 調試器
debugging 調試
decimal radix 十進制基數
decimating filter 抽取濾波器
decimation 抽取
decision feedback equalization 判定回報均衡
decoder 解碼器
decompose 分解
decomposition 分解
decoupling capacitor 去耦電容
decryption 解密
dedicated fallback  reconfiguration logic 專用的回讀重配置邏輯
deep 深|深度
Deep-learning Processing Unit (DPU) 深度學習處理器 (DPU)
Defect Detection 缺陷檢測
defense 國防
defense-grade 軍用級
definition 定義
degrade 劣化
deinterleaver 去交織器
delay 延遲
delay interval 延遲間隔
Delay Locked Loop (DLL) 延遲鎖相環 (DLL)
delineation 界定
demanding signal-processing 高強度信号處理
demapper 解映射器
demo 示範
demoboard|demo board 示範闆
demodulator 解調器
de-mosaic 解拼
density 器件密度|容量
dependency 相依性
dependency property 相關屬性
deployment 部署
depth 深|深度
de-puncturing 去穿孔
derandomizer 解随機函數發生器
descrambler 解擾器
description 描述|說明
deserializer 解串器
design 設計
Design Advisory 設計咨詢
design automation 設計自動化
design closure 設計收斂
design closure 設計收斂
design cycle 設計周期
design dynamic power 設計動态功耗
design entry 設計輸入
design environment 設計環境
design flow 設計流程
design hierarchy 設計層
Design Hub 設計中心
Design Kit 設計套件
design margin 設計餘量
design methodology 設計方法
Design Methodology Checklist 設計方法檢查表
design preservation 設計儲存
design process 設計程序
design reuse 設計複用
design rule check 設計規則檢查
designer 設計師
Designer Assistance 設計輔助
Designer Assistent 設計輔助
designer automation 設計自動化
destructor 析構函數
deterministic data 确定性資料
developer 開發者
development 開發
development board|Development Board 開發闆
development cycle 開發周期
development environment 開發環境
Development Kit 開發套件
development package 開發包
device 器件
device configuration 器件配置
device cost 器件成本
device family 器件系列
device manager 器件管理器
device packaging 器件封裝
device power down 器件省電模式
device tree 裝置樹
device tree blobs 裝置樹二進制對象 (dtb)
diagonal cell 對角線單元
diagonal interconnect 對角互連
dialog box 對話框
die 裸片
die size 裸片尺寸
die-attach 裸片粘結
Dielectric 電媒體|介電
Dielectric Loss 介電損耗
dielectric material 電媒體材質
die-to-die 裸片之間的
die-to-die bandwidth 裸片之間的帶寬
differential clock 差分時鐘
Differential nonlinearity 差分非線性
differential pair 差分(信号)對
Differential Power Analysis (DPA) attack 差分功耗分析 (DPA) 攻擊
differential swing control 差分擺幅控制
Digital Clock Manager 數字時鐘管理器
Digital down converter 數字下變頻器
digital downconverter 數字下變頻器
Digital Pre-Distortion (DPD) 數字預失真 (DPD)
digital radio system 數字無線電系統
Digital Rights Management (DRM) 數字版權管理 (DRM)
Digital Signal Processing (DSP) DSP|Digital Signal Processing (DSP)|數字信号處理 (DSP)
Digital Step 數字步進
Digital supply voltage 數字供電電壓
digital TV 數字電視
digital up converter 數字上變頻器
Digital Video Technology (DVT) 數字視訊技術 (DVT)
digitally controlled impedance 數控阻抗
direct form FIR filter 直接型 FIR 濾波器
Direct Memory Access (DMA) 直接存儲器通路 (DMA)
direct RF platform 直接 RF 采樣平台
directive 指令|訓示
directory 目錄
disable 禁用
discrete 離散
Discrete Jitter 離散抖動
dissipation factor 損耗因子
dissolve 消隐
distortion 失真
distributed LUT RAM option 分布式 LUT RAM 選項
distributed RAM 分布式 RAM
distribution 分布
distributor 分銷商|分布器
divided clock 分頻時鐘
dividend 被除數
divider 除法器
division ratio 分頻比
divisor 除數
documentation 文檔
domain
Domain-Specific Architectures (DSAs) 領域專用架構 (DSA)
Domain-Specific Architectures (DSAs) 領域特定架構 (DSA)
Donation Request 捐贈請求
dongle 硬體保護裝置
doping 摻雜
Dot Product 點積
Double In-line Package (DIP) 雙列直插式封裝 (DIP)
double-bit error detection 雙比特錯誤檢測
double-click 輕按兩下
double-data-rate 雙倍資料速率
double-width 雙寬度
downgrade 降級
download 下載下傳
downsampling FIR filter 降采樣 FIR 濾波器
drain 漏極
drive 驅動
drive strength 驅動強度
driver 驅動
DSP processor DSP 處理器
DSP prototyping hardware DSP 原型硬體
dual inline memory module 雙列直插式記憶體子產品
Dual RAM 雙口 RAM
dual role device (DRD) 雙作用器件 (DRD)
dual-link HD-SDI 雙鍊路 HD-SDI
dual-port 雙端口
duty cycle 占空比
dynamic polymorphism 動态多态性
dynamic power 動态功耗
Dynamic Power Management 動态功耗管理
Dynamic Reconfiguration Port 動态重配置端口

E

 
early access 早期通路|搶先體驗
echo server 響應伺服器
Edge
Edge  Resident Apps 使用者端應用
edge detection 邊緣檢測
edge-sensitive 邊沿敏感
edge-triggered 邊緣觸發
edit 編輯
effort level 工作量
elaborate 細化
elaboration 細化
elapsed time 運作時間
e-learning 線上學習
electrical characteristic 電氣參數
electrical length 電氣長度
electrolytic capacitor 電解電容
element 要素|元素
element 元件
embedded 嵌入式
embedded board|Embedded Board 嵌入式開發闆
Embedded Development Kit (EDK) 嵌入式開發套件 (EDK)
Embedded Kit 嵌入式套件
Embedded Kit 嵌入式套件
embedded processing 嵌入式處理
embedded processor 嵌入式處理器
embedded software design 嵌入式軟體設計
embedded system development 嵌入式系統開發
Emerging Standards & Protocols (eSP) 新興标準和協定 (eSP)
emulation 仿真
enable 啟用|使能
enclosure 機箱
encoder 編碼器
encoding scheme 編碼機制
encryption 加密
Endianness 位元組序
endpoint 端點
endpoint layer 端點層
engine 引擎
Engineering Change Order (ECO) 工程變更單 (ECO)
entity 實體
enumerate 枚舉
equation 方程|公式
equivalency checking formal verification 等效校驗形式驗證
errata 勘誤表
error 錯誤
error correction 糾錯
error correction checking 糾錯檢查
Error Correction Code 錯誤檢查和糾正
error correction code 糾錯碼
Error Correction Code (ECC) 錯誤檢查和糾正 (ECC)
error-checking code 錯誤檢測碼
error-correcting code 錯誤檢查和糾正
error-correcting code (ECC) 錯誤檢查和糾正 (ECC)
estimation 估算
ethernet 以太網
Ethernet Media Access Controller (MAC) 以太網媒體通路控制器 (MAC)
Euclidian distance (PED) 歐氏距離
evaluation board|Evaluation Board 評估闆
Evaluation Card 評估卡
Evaluation Card 評估卡
Evaluation Kit 評估套件
evaluation kit|Evaluation Kit 評估闆
even-odd jitter 奇偶抖動
exception 異常|例外
exception handling 異常處理
exception level 異常級别
excessive latency 時延過大
export 導出
express traffic 高速流量
extended memory 擴充存儲器
extended temperature device 擴充級溫度範圍器件
extensible processing platform 可擴充處理平台
Extra Packages for Enterprise Linux (EPEL) Linux 附加安裝包 (EPEL)
Extra Packages for Enterprise Linux (EPEL) 企業級 Linux 附加安裝包 (EPEL)

F

 
fabless 無工廠
fabric 結構
fabric channel 光纖通道
fabric clock 架構時鐘
fact sheet 詳細情況
fail-fast 快速失敗
failing endpoint 故障端點
Fallback 回退
Fallback corruption 回退損壞
falling edge 下降沿
false path 僞路徑
family key 族密鑰
fanout 扇出
fast carry look-ahead chain 高速超前進位鍊
Fast Simplex Link 快速單工鍊路
Fast timing corner 快速時序角
feature 特點|功能|特性
feedback 回報
feed-forward structure 前饋結構
feedthrough 饋通
Ferrite bead 鐵氧體磁珠
Fibre channel 光纖通道
Field 現場
field 領域|字段
Field Programmable Controller 現場可程式設計控制器
field solver 場解算器
Field Upgradable System 現場可更新系統
file 檔案
filter 篩選|濾波器|篩選工具
filtering 濾波
filtering application 濾波應用
filtering stage 濾波級
fine grain 高精度
fine granularity 細粒度
fine pitch 精确栅距
fine-grained I/O banking 高精度 I/O 分組
fine-grained increments of delay 精細延遲增量
fine-grained phase shifting resolution 精細相移分辯率
finite impulse response (FIR) 有限脈沖響應
Finite State Machine 有限狀态機
firewall trip 防火牆脫扣
firmware 固件
first-stage boot loader (FSBL)|first-stage boot loader 第一階段啟動加載程式 (FSBL)
fitting report 适配報告
fixed-point 定點
fixed-rate mode 固定速率模式
flash 閃存
flash 燒寫
flash memory 閃存
flight delay 飛行延遲
flip-chip package Flip-chip 封裝
flip-flop 觸發器
floating license 浮動許可證
floating point 浮點
floating point number 浮點數
Floating Point Unit 浮點單元 (FPU)
floorplan 布局規劃
floorplanning 布局規劃
flow 流程
Flow Control 流量控制
flush 重新整理
folder 檔案夾
footprint 占闆面積
footprint compatible 管腳相容
fork 複刻
form factor 外形尺寸
format 格式
format converter 格式轉換器
forward bias 正向偏置
Forward Error Correction (FEC) codecs 前向糾錯 (FEC) 編解碼器
forwarded clock 前向時鐘
foundational platform 基礎平台
fragment 片段
fragment frame 碎片幀
frame
Frame Check Sequence 幀校驗序列
frame relay 幀中繼
frame relay access device 幀中繼接入裝置
Frame Straddle 跨幀
frame-mapped 幀映射
framework 架構
free running|free-running 自由運作
frequency 頻率
frequency domain 頻域
fringing capacitance 邊緣電容
front-to-back RTL design environment front-to-back RTL 設計環境
full precision 全精度
full rate 全速率
full-power domain (FPD)|full power docmain 全功耗域 (FPD)
full-power domain|full power docmain 全功耗域
Full-scale Input 滿量程輸入
Fully Digital Delay Locked Loop (DLL) 完全數字鎖定環 (DLL)
function 功能|函數
function body 函數體
function call 函數調用
function inlining 函數内聯
function signature 函數特征符
functional block 功能塊
functional coverage 功能覆寫率
functional simulation 功能仿真

G

 
gate 門電路
gate-level 門級
gating 門控
gear box 變速箱
General Purpose I/O (GPIO) 通用 I/O (GPIO)
generate 生成
generated clock 生成時鐘
generation 生成
generic 參數
geometry processor 幾何處理器
Getting Started Guide 入門指南
Gigabit Ethernet WAN 千兆位以太網 WAN
Gigabit Ethernet|gigabit ethernet 千兆以太網
Gigabit Media Independent Interface (GMII) 千兆位媒體獨立接口 (GMII)
Givens rotation 吉文斯旋轉
glitch 毛刺
global 全局的
Global System Memory Map 全局系統記憶體映射
global-clock multiplexer buffer 全局時鐘多路複用緩沖器
graphic 圖形
graphical user interface 圖形使用者界面
graphics processing unit (GPU) 圖形處理單元 (GPU)
gray-coded bus transfer 格雷編碼總線傳輸
gray-coding 格雷編碼
ground pad 接地焊盤
ground plane 接地層
ground stitch 接地拼接
GT Quad GT 四通道
guard trace 防護線
guideline 指導|指南

H

 
H.264 Motion Estimation Engine H.264 運動估計引擎
half-adder 半加器
half-band filter 半帶濾波器
hand-off 交接
hands on experience 實際操作經驗
hang 挂起
Haptic feedback 觸覺回報
Hard Memory Controller 硬體記憶體控制器
hard-decision detection 硬判決檢測
hardened 硬化的
hardware 硬體
Hardware Description File (HDF) 硬體描述檔案 (HDF)
Hardware Handoff File (HWH) 硬體交接檔案 (HWH)
Hardware Manager 硬體管理器
hardware verification 硬體驗證
hardware-software trade-off boundary 軟硬體劃分界線
harmonic 諧波
harmonic distortion 諧波失真
HDL parser HDL 文法分析器
HDTV 高清電視
head of line blocking 隊頭阻塞
header 報頭|頭檔案
header expansion card 插頭擴充卡
Heat Sink 散熱片
Heat Sink PQFP (HQ) 散熱型 PQFP (HQ)
heatsink 散熱片
heterogeneous 異構
hexadecimal 十六進制
hibernate mode 休眠模式
hierarchical design 層級設計
hierarchical floorplanning 階層化布局規劃
hierarchy 層級
high
high address 高位址
high connectivity 高速連接配接
high density transcode 高密度轉碼
high level language 進階語言
High Performance Computing 高性能計算
high performance processing 高性能處理
high signal-integrity flip-chip packaging 高信号完整性 Flip-chip 封裝
high speed I/O 高速 I/O
high speed serial 高速串行
high time 高電平時間
high volume 大容量
high-bandwidth memory 高帶寬存儲器
High-K 高介電
high-level synthesis (HLS)|high level synthesis (HLS) 高層次綜合 (HLS)
high-level synthesis|high level synthesis 高層次綜合
highlight 主要特色
high-reliability 高可靠性
high-speed 高速
high-speed connectivity 高速連接配接
high-κ metal gate (HKMG) 高介電層/金屬閘
histogram 直方圖
hold 保持
Home 首頁
hook mode|Hook mode 鈎子模式
hop 中間連線
host 主機
host machine 主機
human readable 人工可讀
Hybrid memory cube 混合記憶體立方體
hyper-parameter 超參數
HyperTransport Consortium HyperTransport 聯盟
hypervisor 虛拟機管理器

I

 
I/F 接口
I/O I/O
I/O bank I/O bank
I/O coupling effect I/O 耦合效應
I/O logic I/O 邏輯
I/O operation I/O 操作
I/O pin planning I/O 管腳配置設定
I/O planning I/O 管腳配置設定
I/O routing breakout I/O 布線分支點
I/O signal I/O 信号
I/O standard I/O 标準
icon 圖示
image 圖像|鏡像
image edge enhancement 圖像邊緣增強
image noise reduction 圖像降噪
image processing pipeline 圖像處理流水線
image statistics engine 圖像統計引擎
immediate data 即時資料
impedance 阻抗
implement 實作|執行
implementation 實作
import 導入
incremental 增量
incremental design 增量設計
incremental synthesis 增量綜合
inductance 電感
inductive crosstalk 感性串擾
industrial 工業類
industrial 工業
industrial temperature device 工業級溫度範圍器件
industry standard 業界标準
infer 引用
inference 推斷
Information Assurance (IA) 資訊保證 (AI)
initialization 初始化
initiation interval 啟動時間間隔
inline 内聯
input 輸入
input referred noise 輸入參考噪聲
input termination 輸入端接
insertion loss 插入損耗
inside out column 專欄
install 安裝
Installation Guide 安裝指南
installation package 安裝包
instance 執行個體
instance name 執行個體名
instantiate 例化
instantiated core 例化的核
instantiation 例化
in-stream 流内
instruction 說明
integar 整數
integer factor 整數倍
integer performance 整數運算性能
integer value 整數值
Integral nonlinearity 積分非線性
integrated block 內建塊
intellectual property 知識産權
intelligent 萬物智能
Inter Processor Interrupt (IPI) 處理器間中斷 (IPI)
interact 互動
interconnect 互連|互聯
interface 接口|連接配接
inter-frame gap 幀間間隔
interleaver 交織器
intermediate feature map 中間特征圖
intermodulation distortion|inter-modulation distortion 互調失真
Internet 網際網路
Internet reconfigurable logic 網絡可重配置邏輯
interpolation 内插
interposer 中介層
interposer structure 插入式結構
Inter-Processor Interrupt (IPI) 處理器間中斷 (IPI)
Inter-Processor Interrupts (IPIs) 處理器間中斷  (IPIs)
interrupt 中斷
Interrupt Disable Register 中斷禁用寄存器
Interrupt Enable Register 中斷使能寄存器
Interrupt Service Routine (ISR) 中斷服務例程 (ISR)
intersperse 穿插
intrinsic call 内部調用
intrinsic capacitance 固有電容
invalid 無效的
inventory risk 庫存風險
inverted clock pin 反時鐘引腳
inverted logic 邏輯取反
inverted waveform 波形反向
inverter 反相器
investor relation 投資商關系
IO I/O
IP catalog IP 目錄
IP Center IP 中心
IP core IP 核
IP output product IP 輸出檔案
IP packager IP 封裝器
IP Sources IP 源檔案
IP subsystem IP 子系統
IP-Immersion IP 植入 (IP-Immersion)
Isochronous 常時等量
Isolation Design Flow (IDF) 隔離設計流程 (IDF)
iteration 疊代
Iterative path 重複通路

J

 
jitter 抖動
JTAG cable JTAG 線纜
Jumbo Frame 巨型幀
jump-start 啟動
junction temparature 結溫

K

 
kernel 核心
key 密鑰
keyboard shortcut 快捷鍵
Known Issue 已知問題

L

 
lab tool 實驗工具
lamination 層壓
Land pad 連接配接盤焊盤
lane 通道
language template 語言模闆
large-swing 大擺幅
latch 鎖存 (v)|鎖存器 (n)
latency 時延
launch 啟動
launch edge 發送沿
layout 布局
leading-edge 領先
leaf cell 葉節點單元
leaf module 支葉子產品
leakage current 漏電流
leakage power 漏電功耗
least significant bit 最低有效位
level-sensitive 電平敏感
library
license 許可證
Lightening Data Transport 閃電資料傳輸 (Lightening Data Transport)
line comment 行注釋
line impedance 線路阻抗
line rate|line-rate 線速率
Linear Regulator 線性調節器
link 連結|連接配接
link partner 鍊路夥伴
Link Training 鍊路訓練
linker 連接配接器
Linux kernel Linux 核心
listen 監聽
listening 監聽
lithography 光刻
little endian 小位元組序
Little Endian 小位元組序
Live Video Streaming 視訊直播
Live Video Streaming Network 視訊直播網絡
load 加載|負載
Local Fault 本地故障
Local Fault Indication 本地故障訓示
local memory bus 本地存儲器總線
Local Next Page 本地下一頁
location 位置
lock 鎖定
Lock-Step mode|lockstep mode|lock-step mode Lock-Step 模式
log 日志
logic 邏輯
logic level 邏輯級數|邏輯層次
login 登入
loop 循環
loop induction variable 循環感應變量
loop parallelism 循環并行化
loopback mode 環回模式
loss tangent 損耗角正切
low
low address 低位址
low power 低功耗
low time 低電平時間
low-voltage differential signaling 低壓差分信号
low-voltage positive emitter-coupled logic 低壓正發射極耦合邏輯
LUT 查找表|LUT

M

 
machine learning 機器學習
machine learning inference 機器學習推斷
machine learning training 機器學習訓練
macro
macrocell 宏單元
magic packet 魔術封包 (magic packet)
Make Active 變成有效的
manufacturing tolerance 制造公差
map 映射
mapper 映射器
margin 裕度
marker 标記
mask register 掩碼寄存器
master clock 主時鐘
master headend 主前端
master|Master 主|主要制器|主接口 (For AXI)
Math 數學函數
matrix dimension 矩陣維數
matrix element 矩陣元
matrix factorization technique 矩陣因子分解方法
maximum data rate 最大資料率
maximum transmission unit 最大傳輸單元
mean time between failure 平均故障間隔時間
measurement 測量
media access control 媒體通路控制
Media Independent Interface 媒體獨立接口
Media Oriented Systems Transport 面向媒體系統傳輸
medical 醫療
medical imaging 醫療成像
medium 媒體
memory 存儲器|記憶體
memory bank 存儲體
memory buffer 存儲緩沖區
memory card 存儲卡
Memory Controller Block 存儲器控制塊
Memory Controller Block (MCB) 存儲器控制塊
Memory Fetch 存儲器提取
memory interface 存儲器接口
Memory IP 存儲器 IP
memory management unit 記憶體管理單元
memory protection unit 記憶體保護單元
memory stick 記憶棒
Memory Topology 存儲器拓撲結構
memory-mapped 存儲器映射
memory-to-logic ratio 存儲器-邏輯比
merge 合并
message 消息
message filtering 消息濾波
metastability event 亞穩态事件
Methodology 方法論
methodology guide 方法指南
metrics 名額
Mezzanine Card 夾層卡
Mezzanine Card 夾層卡
Mezzanine card connectivity 夾層卡連接配接功能
micro kernal 微核心
MicroBlaze soft processor MicroBlaze 軟核處理器
micro-bump 微凸塊
microprocessor 微處理器
Microsoft Windows Vista Business Microsoft Windows Vista 商務版
Microsoft Windows XP Professional Microsoft Windows XP 專業版
microstrip 微帶線
Microvia 微過孔
microwatts microwatts (微瓦)
Mictor Trace Port Mictor 跟蹤端口
middleware 中間件
mid-sized 中等尺寸
migrate 移植
military 軍工企業
military temperature device 軍工級溫度範圍器件
milliseconds 毫秒
millwatss millwatss (毫瓦)
MLP 多層感覺機
Mobility 靈活性
mode 模式
model 模型
modular design 子產品化設計
Modular Exponentiation Core 子產品化取幂核
modularity 子產品化
Modulation 調制
modulator 調制器
module 子產品
module instance 子產品執行個體
modulo arithmetic 同餘算術
monitor|monitoring 監控
monitored quantity 監控值
monolithic device 單片器件
motherboard 主機闆
motion adaptive noise reduction 運動自适應降噪
MQ (Metal Quad) 金屬方形封裝
multi bit error 多位錯誤
Multiboot|multiboot|multi-boot 多重新開機動
Multicast 多點傳播
multicycle 多周期
multi-gigabit transceiver 千兆位級收發器
Multilayer Perceptron 多層感覺機
Multilayer Perceptron (MLP) 多層感覺機 (MLP)
multi-level cell (MLC) 多層單元 (MLC)
multimedia 多媒體
multiple boot 多重新開機動
multiplexer 多路複用器
multiplier 乘法器
multiprocessor 多核處理器
multirate FIR filter 多速率 FIR 濾波器
multi-threaded compilation 多線程編譯
multi-tile synchronization 多塊同步
mux 多路複用器

N

 
nanowatts nanowatts (納瓦)
native API 本機 API
Near Clock Region 近端時鐘區域
negative 負|下降沿
nested loop 嵌套循環
net 信号線
net 信号線
net delay 信号線延遲
netlist 網表
network 網絡
network routing 網絡路由
neutron-induced single-event upset 中子單粒子翻轉效應 (NSEU)
new generation 新一代
nibble 半位元組
node 節點
node-locked license 單機版許可證
noise floor 噪聲本底
noise immunity 抗擾度
noise margin 噪聲容限
Noise spectral density 噪聲頻譜密度
nomilization 歸一化
non-blocking event 非阻塞事件
nonce 随機數
Non-Posted transaction 非轉發傳輸事務
non-recurring engineering 非重發性設計成本
normalized average 歸一化平均數
Nyquist theorem 奈奎斯特定理

O

 
obfuscated key 模糊密鑰
object 對象
odd mode impedance 奇模阻抗
OEM 原始裝置制造商
off-chip 片外
off-chip thermal 片外溫度
offload|offloading 解除安裝
offset 偏移
offset cancellation 偏移消除
on-board|on board 闆載
on-chip 片上
on-chip thermal 片上溫度
on-die 片上
on-die termination 片上終端
on-orbit 在軌
on-premises 本地
on-substrate bypass capacitor 基片旁路電容
Open Asymmetric Multi Processing (OpenAMP) 開放式非對稱多處理 (OpenAMP)
Open Base Station Architecture Initiative 開放式基站架構聯盟 (OBSAI)
Open Graphics Language (OpenGL) 打開圖形語言 (OpenGL)
Open Portable Trusted Execution Environment (OP-TEE) 開放可移植的可信執行環境 (OP-TEE)
open-drain 開漏|漏極開路
open-drain output 開漏輸出
operator 運算符
opt 優化
optical 光學
Optical Internetworking Forum 光網際網路絡論壇(OIF)
optical proximity correction 光學鄰近效應校正 (OPC)
optics 光子產品
optimization 最優化
optimize 最優化
option 選項
Ordered Set 有序集
ordinary clock 普通時鐘
oscillator 振蕩器
oscilloscope 示波器
Out of Bound 出界
out of reset 脫離複位
outlier 離群值
out-of-band 頻帶外
out-of-context 非關聯 (OOC)
out-of-context (OOC)|out of context (OOC) 非關聯 (OOC)
out-of-order execution 無序執行
output 輸出
Output Divider 輸出分頻器
over-building 過度建構
overclocked 已超頻
over-driving 過驅動
overhead 開銷
overload 重載
overloaded operator 重載運算符
over-sampling 過采樣
overshoot 過沖
overview 簡介
overwrite 覆寫

P

 
pack 打包
package 封裝|包
package drawing 封裝示意圖
package specification 封裝規格
packet
packet assembly 資料包組裝
packet classification 資訊包分類
packet processing 包處理
pad 焊盤
pad array 管腳陣列
PAR 布局布線
paradigm 範例
Parallel 并行
parallel cable 并行電纜
parallel flash interface 并行 Flash 接口
parallel network interface 并行網絡接口
parallel system interface 并行系統接口
parallel termination 并聯終端
parallelism 并行度|并行|并行化
parallelization 并行
parallelize 并行
parameter 參數
parameter sweep 參數掃描
parametrization 參數化
parasitic inductance 寄生電感
paravirtualization 半虛拟化
parity 奇偶校驗
part 器件
part number 器件編号
partial reconfigurability 部分可重配置能力
partial reconfiguration 部分重配置
partition 分區|劃分
partitioner 分區器
partnership 合作夥伴
passband 通帶
passive cooling 被動散熱
Passive Cooling 被動散熱
Passive optical network 無源光纖網絡
passive silicon interposer 無源矽中介層
past issue 往期
path 路徑
pattern detector 模式檢測器
pause-frames|pause frames 暫停幀
PAVE Framework PAVE 架構
payload 有效載荷
Pb-free solution 無鉛解決方案
Pblock Pblock
PCB plane PCB 内電層
PCI slot PCI 插槽
PCIe Bridge PCIe 橋接器
pcore 處理器核心
PD (Plastic DIP) 塑膠雙列直插封裝 (Plastic DIP)
Peak Cancellation Crest Factor Reduction (PC-CFR) 波峰削減-波峰系數削減 (PC-CFR)
per-bit deskew 逐比特去歪斜
performance 性能
performance margin 性能極限
performance per watt 機關功耗性能
period 周期
peripheral 外設
Peripheral Component Interconnect PCI(外圍部件互連)
personality module connector 個性化子產品接插件
perspective 透視圖
pessimism 消極因素
PetaLinux tool set PetaLinux 工具包
phase 相位|階段
phase acquisition time 相位捕獲時間
phase alignment 相位對齊
Phase Error 相位誤差
phase factor width 相位因子寬度
phase frequency detector 相位頻率檢測器
phase locked-loop|Phase-locked loop 鎖相環
phase shift 相移
Phased Lock Loop 鎖相環
phase-noise mask 相位噪聲屏蔽
phase-shift timing increment 相位的步進時間
Physical Coding Sublayer 實體編碼子層
physical database 實體資料庫
physical layer 實體層
Physical Layer (PHY) 實體層 (PHY)
physical medium attachment 實體媒體連接配接
physical synthesis 實體綜合
picture 圖像
picture enhancement 圖像增強
pin 管腳
pin compatibility tool 引腳相容檢查工具
pin grid array (PGA) 針腳栅格陣列 (PGA)
pin metal quad flat pack 針腳金屬方形平面封裝
pin planning 管腳配置設定
pinout 管腳
pinout table 管腳表
pinout|pin-out 管腳配置設定
pip|PIP
pipeline 流水線
pipelined function 用流水線實作的功能
pipelining 流水打拍
place 布局|放置在
place and route 布局布線
placement 布局
planar electromagnetic (EM) 平面電磁性 (EM)
plastic DIP 塑膠 (Plastic)|Plastic DIP
platform 平台
Platform Cable 平台電纜
Platform Cable 平台電纜
Platform diagram 平台框圖
Platform Management Unit 平台管理單元
Platform Management Unit (PMU) 平台管理單元 (PMU)
PLCC 塑膠無引線晶片載體封裝 (PLCC)
pluggable 可插拔
plugin|plug-in 插件
pointer 指針
polling mode 輪詢模式
Polygon List Builder 多邊形清單生成器
polyphase interpolating 多相插值
port 端口
port mapping 端口映射
portable 便攜式
positive 正|上升沿
post-detection 後檢波
Posted transaction 轉發傳輸事務
post-processing 後處理
power 電源|功耗
power analysis 功耗分析
Power cable 電源線纜
power consumption 功耗
power disspation 功耗損耗
power distribution 配電
power domain 功耗域
power down 掉電
power estimate 功耗估計
power estimation 功耗估算
power integrity 功耗完整性
power island 電源島
power management 功耗管理
power margin 功耗裕度
power penalty 功耗代價
power rail 電源供電線
power rail 電源軌
power regulator 電源調節器
power report 功耗報告
power supply 電源
Power Supply Ramp Time 電源緩升時間
power supply rejection ratio (PSRR) 電源電壓抑制比 (PSRR)
power-on 上電
power-saving circuit 節能電路
power-saving technology 節能技術
power-sensitive 節能應用
power-up 上電
PP (Plastic PGA) 塑膠插腳栅格陣列
PQ (PQFP) 塑膠四方扁平封裝
pragma 編譯訓示
preamble 前導碼
preamble detector 前導檢測器
pre-compiled 預先編譯完成的
predefined 預定義
predistortion filter 預校正濾波器
pre-emphasis 預加重
preempt traffic 搶占流量
Preemption 搶占
pre-engineered 預制
Pre-engineered packaging technology for proven  superior signal integrity 确實可實作優異信号完整性的預制封裝技術
Prefetchable 可預取
pre-preg 預浸材料
pre-processing 預處理
preset 預置
pretested intellectual property 預先測試過的 IP核
primary clock 基準時鐘
primitive 原語
privacy policy 資訊保密條款
privileged 特權
probe 探測|探針
process 程序|處理|工藝
process corner 工藝角
process dependency 程序依賴性
processing 處理
processing system 處理器系統
processor 處理器
processor configuration access port 處理器配置通路端口
processor IP 處理器 IP
processor local bus 處理器本機總線 (PLB)
processor sub-system design 處理器子系統設計
producer function 生産者函數
product 産品
product  selection guide 産品選型指南
product brief 産品簡介
product certification marking 産品認證标記
product guide 産品指南
product specifications 産品規格
production 量産
production board 量産闆
production qualified (PQ) card 量産合格 (PQ) 卡
production ready 量産
productivity 生産力
profiling 剖析|profiling
profiling analysis 特性分析
program 程式|程式設計
Programmable carrier spacing and position 可程式設計載波間距與位置
programmable configuration 可程式設計配置
programmable imperative 可程式設計技術勢在必行
programmable logic 可程式設計邏輯
programmable system 可程式設計系統
Programmed Power Manager (PPM) 程式設計功耗管理器 (PPM)
programmer 程式員|程式設計器
programming 程式設計
project 工程
Project Navigator 項目浏覽器
promiscuous mode 混雜模式
propagation 傳輸
property 屬性
protection 保護
protocol 協定
prototype 原型設計
provider logic partition 提供商邏輯分區
prune 剪枝
pseudo-inverse 僞逆矩陣
pseudo-random bit stream 僞随機比特流
public cloud 公有雲
public cloud 公有雲
pull type 拉拔類型
pulldown|pull-down 下拉
pullup|pull-up 上拉
pulse 脈沖
pulse width 脈沖寬度
push–pull output 推挽輸出

Q

 
QPro QML Certified and Radiation Hardened Products QPro QML 認證和抗輻射加強産品
QR factorization QR 分解法
Quadrature Modulation Correction 正交調制校正
qualified server 認證伺服器
quality 品質
Quality of Results 結果品質
Quality of Service (QoS) 服務品質 (QoS)
quanta 暫停量
quasi-maximum-likelihood 準最大概似法
quick reference guide 快捷參考指南
quick sort 快速排序
QuickStart 快速啟動
quiscent current 靜态電流

R

 
race condition 争用條件
rad-hard 抗輻射加強
Radiated & Conducted Emissions 輻射放射性與傳導放射性
radiation effect 輻射效應
radiation hardened 抗輻射加強
radio system 無線電系統
radix 基數
randomiser 随機函數發生器
RapidIO Logical (I/O) and Transport Layer RapidIO 邏輯層 (I/O) 和傳輸層
RapidIO Physical Layer RapidIO 實體層
rasterizer 栅格化
raster-scan|raster scan 光栅掃描
rate shape 碼率整形
rational value 有理值
raw bit file 原始比特檔案
read 讀取
readback 回讀
read-only 隻讀的
ready-to-use 即用型
real 實數
Real-Time Processing Unit 實時處理單元
Real-Time Processing Unit (RPU) 實時處理單元 (RPU)
real-time streaming solution 實時流媒體解決方案
Real-Time Video Streaming 實時視訊流
real-time window monitoring 實時視窗監控
real-valued number 實數
receive 接收
receiver elastic buffer delay 接收器彈性緩沖器延時
reception (RX) 接收 (RX)
recipe 配方
reciprocal function 互反函數
reconfigurable 可重新配置
reconfigurable module 可重新配置子產品
reconfigure 重新配置
recovery 恢複
rectangular bank pin-out 矩形分組管腳
recursive temporal filter 遞歸時間濾波器
Reduced Gigabit Media Independent Interface (RGMII) 簡化千兆位媒體獨立接口(RGMII)
redundancy remover 備援去除機制
reference clock 參考時鐘
reference design 參考設計
reflow soldering 回流焊接
refresh 重新整理
register 寄存器|注冊
register transfer level 寄存器傳輸級
registration 注冊
regular expression 正規表達式
regulator 調節器
relative clock 相關聯時鐘
relative dielectric constant 相對介電常量
relative permittivity 相對電容率
release note 版本說明
reliability 可靠性
remote fault condition 遠端故障狀況
Remote Fault Indication 遠端故障訓示
renderer 渲染器
replication 複制
report 報告
repository
reprogrammability 可重程式設計功能
reprogramme 重程式設計
research 研究
reset 複位
Resident at the edge 使用者端
residential gateway 住宅網關
resistor 電阻
resonant 諧振
resource 資源
restore 恢複
retiming 重定時
return loss 回波損耗
return on investment 投資收益
return path 回路
Return to top 傳回頁首
reuse 複用
reverse engineering 反向工程
revision control 版本控制
Rewind 回繞
rework soldering 返工焊接
RF (Radio Card) RF(射頻卡)
right-click 右鍵單擊
ring buffer 環形緩沖器
ripple 紋波
rising edge 上升沿
robotics 機器人學
RocketIO Multi-Gigabit Transceiver RocketIO 千兆位級收發器
rolling-bank mode 滾動庫模式
roll-off 滾降
root
root complex 根聯合體 (Root complex)
route 布線
routed estimates 布線後估計
router 路由器|布線器
routing 布線
routing connection 布線走線
routing packet 路由包
RTL analysis RTL 分析
rule deck 規則卡
run 運作
running disparity 運作不一緻
runt frame 超短幀
runtime 運作時間
runtime library 運作庫

S

 
sample 采樣|示例
sampling error 采樣誤差
Sanity 完整性
satellite controller 衛星控制器
saturation arithmetic 飽和運算
scalar 标量
scaling 縮放
scan 掃描
scan type 掃描類型
Scatter-Gather DMA 分散聚集 DMA
schematic 闆級原理圖
scrambler 擾碼器
script 腳本
SD card SD 卡
SDP 簡單雙端口 (SDP)
seamless integration 無縫內建
search 搜尋
searcher 搜尋器
secret key 私鑰
secure boot 安全啟動
Secure Chip AES 安全晶片 AES
secure key 安全秘鑰
security 安全性
SEE Consortium SEE 協會
select 選擇|單擊
self-calibration 自校準
sell sheet 銷售表
semantics 語義
semaphore 信号量
semiconductor 半導體
send 發送
sense amp 傳感放大器
sensor 傳感器
Sequencer 定序器
Sequential API Sequential 順序模型 API
sequential cell 時序單元
sequential element 時序元件
sequential logic 順序邏輯
sequential loop 順序循環
serial backplane simulator 串行背闆仿真器
serial clock 串行時鐘
serial configuration 串行配置
serial digital interface 串行數字接口
Serial Gigabit Media Independent Interface (SGMII) 串行千兆位媒體獨立接口 (SGMII)
Serial Peripheral Interface bus (SPI) 串行外設接口總線 (SPI)
serial rate 串行速率
serial system interface 串行系統接口
serial transceiver 串行收發器
Serial Vector Format (SVF) 串行矢量格式 (SVF)
serializer 串行器
series resistance 串聯電阻
series termination 串聯終端
server 伺服器
service 服務
Service Pack 服務包
set 置位
setting 設定
settling time 穩定時間
setup 建立|設定|安裝
SFI electrical specification SFI 電氣接口規範
shift register 移位寄存器
shifter 移位器
shortcut 捷徑
shunt capacitance 分流電容
shunt resistor 分流電阻
side channel attack 旁路攻擊
sideband 邊帶
side-channel signal 旁路信号
sign extended 符号位擴充
signal 信号
signal destination 信号終點
signal integrity 信号完整性
signal loss 信号損耗
signal processing capability 信号處理功能
signal propagation delay 信号傳輸延遲
signal source 信号源
signal termination 信号終端
signed 符号
signoff 驗收
silicon 晶片|矽片|矽
silicon failure analysis RMA process 晶片失效分析 RMA 處理
silicon interposer 矽中介層
Simple-Dual RAM 簡單雙口 RAM
simulation 仿真
simulation data interface 仿真資料接口
simulator 仿真器
simultaneous switching noise 同步開關噪聲 (SSN)
single bit error 機關錯誤
single event fault interrupt 單粒子失效中斷
single event functionality interrupt 單粒子功能中斷
Single event mitigation 單粒子緩解
single event transient (SET) 單粒子瞬态脈沖 (SET)
single event upset 單粒子翻轉
Single RAM 單口 RAM
Single rank DIMM 單列 DIMM
single trace 單迹
single-bit error correction 單比特糾錯
single-ended signal 單端信号
Single-instruction multiple-data|single instruction multiple data 單指令流多資料流
single-level cell (SLC) 單層單元 (SLC)
single-width 單寬度
Sink core 接收端 (Sink) 核
sink current 灌電流
site site 位置|站點|位置
site location site 位置
Site Map 網站地圖
skew 偏差
skew comutation 歪斜突變
skin effect 趨膚效應
slack 裕量
slave 從|從裝置|從接口
slave peripheral 從外設
slave SelectMAP mode 從動 SelectMAP 模式
slave serial mode|slave-serial mode 從串模式
slew 斜率
slope|Slew Rate 斜率
Slow timing corner 慢速時序角
small footpring 小尺寸
small form factor 小型化
Smart Camera 智能相機
snapshot 快照
snoop 嗅探
snoop mode 監聽模式
SO (SOIC) 小外形內建電路
socketable 可插接
software 軟體
software development kit 軟體開發套件 (SDK)
software layer 軟體層級
software-defined radio 軟體定義無線電
solder ball 焊球
solder bump 焊球
solder land 焊墊
solution 解決方案
sort 分類
source
source and sink data 源宿資料
Source core 源端 (Source) 核
source current 拉電流
source file 源檔案
source management 源檔案管理
source-synchronous 源同步
space-grade 宇航級
Spartan-3 Generation Spartan-3 系列
specification 規格|規範
spectrum analyzer 頻譜分析儀
spectrum channelization 頻譜信道化
speed 速度
speed grade 速度等級
sphere detection 球形檢測
Split mode Split 模式
sponsor 贊助商
spread spectrum system 擴頻系統
spreadsheet 電子資料表
spread-spectrum 擴充頻譜
spur 雜散
Spurious emission 雜散發射
square root 平方根
S-record S-record 檔案
SSI technology SSI 技術
stack 堆棧|棧
stacked mode 堆棧模式
stacked silicon 堆疊矽片
Stacked Silicon Interconnect Technology 堆疊矽片互聯 (SSIT)
Stackup 疊層
standard 标準
standard bus interface 标準總線接口
standard netlist 标準網表
standby 待機
standby mode 待機模式
start point|startpoint 起點|始點
Starter Kit 入門套件
state 狀态
state machine 狀态機
state-space observer 空間狀态觀測器
static power 靜态功耗
static power 靜态功耗
static probability 靜态機率
static timing analysis 靜态時序分析
statistics 統計資料
status 狀态
step 步驟|逐漸
Step Current 階躍電流
step input 階躍輸入
step response overshoot 階躍響應過沖
stimulus block 激勵塊
stop word 停用詞
stopband 阻帶
storage 存儲
storage area networking 存儲區域網絡
store 存儲
Straddle 跨接
strategic alliances 戰略聯盟
strategy 政策
streaming 資料流傳輸
streaming network 流媒體網絡
stress tolerance 耐逆性
stress-liner strain technology 應力襯墊應變
stride 步幅
string 字元串
stripline 帶狀線
strobe 選通
struct 結構體
stub 短截線
sub-block 子塊
submit 送出
subsystem 子系統
subtractor 減法器
Success Stories 成功案例
successive-interference cancellation 連續幹擾抵消
Super Logic Region (SLR) 超級邏輯區域 (SLR)
Super Long Line (SLL) 超長線路 (SLL)
super sample rate (SSR) 超采樣率
supercharge 超負荷
supercomputing 超級運算
Supply voltage 供電電壓
support 支援
surge current 浪湧電流
Surveillance 監控
survivor path 幸存路徑
suspend mode 待機模式
sustained transfer rate 持續資料傳輸速率
swap out 更換
swing 擺幅
switch fabric 交換機結構
Switched Mezzanine Card (XMC) 交換夾層卡 (XMC)
symbol vector 符号向量
sync word 同步字
synchronization 同步
synchronous 同步
syntax 文法
synth 綜合
synthesis 綜合
Synthesis and Simulation Design Guide 綜合及仿真設計指南
synthesize 綜合
system 系統
system call 系統調用
system diagram 系統框圖
system Gate 系統門
system level tool 系統級工具
System Memory Management Unit 系統記憶體管理單元
System Memory Management Unit (SMMU) 系統記憶體管理單元 (SMMU)
System Monitor 系統監控器
System Performance Monitoring 系統性能監控
System Performance Monitoring (SPM) 系統性能監控 (SPM)
system resource 系統資源
system timing 系統時序
System-Level Control Register 系統級控制寄存器
System-Level Control Register (SLCR) 系統級控制寄存器 (SLCR)
systolic filter 脈動型濾波器
systolic-array 脈動陣列

T

 
tab 頁籤|标簽頁
Tabbed Pane 頁籤窗格
table
tamper 篡改
tampering 篡改
tap 抽頭
target 目标
task 任務
Tcl console Tcl 控制台
Tcl Store Tcl 倉庫
tech-mapped gate 門級映射
Technical Reference Manual 技術參考手冊
technical support 技術支援
telecommunications 電信
Telematics 遠端資訊處理
telemetry 遙測
temperature 溫度
temperature excursion 溫度漂移
template 模闆
tensor 張量
term 專用名詞|終端
terminal 終端
termination 終端
test bench|testbench 測試激勵檔案
test fixture 測試裝置
test pattern generator 測試模式生成器
Test/Measurement Equipment 測試/測量裝置
Text Editor 文本編輯器
text file 文本檔案
thermal
thermal gradient 熱梯度
Thermal relief 熱風焊盤
thermal resistance 熱阻
third-order 三階
third-party 第三方
through point 穿越點
throughput 吞吐量|吞吐率
through-silicon via 矽通孔
tick 節拍
Tightly Coupled Memory (TCM) 緊密耦合記憶體 (TCM)
tile
time division multiplexing 時分複用
Time domain reflectometry 時域反射法
time slot 時隙
timer 定時器
timing 時序
timing analysis 時序分析
Timing Analyzer 時序分析器
timing arc 時序 arc
timing baselining 時序基線檢查
timing calculation 時序計算
timing closure 時序收斂
timing constraint 時序限制
timing exception 時序例外
timing margin 時序裕度
timing model 時序模型
timing report 時序報告
timing simulation 時序仿真
timing slack 時序裕量
timing-driven 時序驅動的
timstamp 時間戳
toggle 翻轉
toggle rate 翻轉率
token 辨別
tolerance 容限
tool 工具
toolchain|tool chain 工具鍊
top-level 頂層
top-level design module 頂層設計子產品
top-level file 頂層檔案
top-level hierarchy 頂層層級
top-level module 頂層子產品
topology 拓撲結構
Total Hold Slack 總體保持時序裕量
Total Negative Slack 總體時序負裕量
Total Pulse Width Slack 總體脈沖寬度時序裕量
TQ (TQFP) 薄形四方扁平封裝
trace 追蹤|走線
track 軌道
trade-off 利弊取舍
trade-off 折衷|均衡|平衡
tradeshow 展覽會
traffic 流量
traffic scheduler 流量排程器
train 訓練
transaction 傳輸事務|資料傳輸
transaction pending 傳輸事務待處理
transaction poisoning 傳輸事務投毒
transceiver 收發器
trans-coding 轉碼
transfer 傳輸
transform 變換
transistor 半導體
transistor 半導體
translate 轉換
transmission 發射
transmission (TX) 發射 (TX)
transmission line 傳輸線
transmit 發射
transmitter 發射器
transparent clock 透明時鐘
tree traversal structure 周遊樹結構
trig function 三角函數
trigger 觸發|觸發器
trigger sequencer 觸發定序器
tri-mode 三态
trip 脫扣
trip count 循環次數
triple module redundancy 三重子產品備援
triple-oxide 三栅極氧化層
triple-rate 三倍速率
Triple-timer counter 三重定時器計數器
troubleshoot 問題解答
true differential termination on-chip 真正的差分片上終端
True-Dual RAM 真雙口 RAM
Trust (Supply Chain Security) 信任(供應鍊安全)
Trusted Execution Environment (TEE) 可信執行環境 (TEE)
tuple 元組
Turbo code register Turbo 碼寄存器
Turbo Convolutional Code Turbo 卷積碼
Turbo Product Code Turbo 乘積碼
turnkey 交鑰匙
tutorial 教程
Twiddle Factor 旋轉因子
Two-tone 雙音
Two-tone, third-order inter-modulation distortion 雙音三階互調失真

U

 
ultimate connectivity 終極連接配接功能
ultimate system integration platform 終極系統內建平台
Ultra low power 極低功耗
unateness 單邊性
uncertainty 不确定性
underflow 下溢
under-run 欠載
undershoot 下沖
unidirectional|uni-directional 單向
unified software platform 統一軟體平台
Uniform Quantization 均勻量化
uninstall 解除安裝
union 聯合體
unit 機關|單元
Universal Asynchronous Receiver/Transmitter (UART) 通用異步接收器/發射器 (UART)
University Program 大學計劃
unpack 解包
unprivileged 非特權
unrolling 展開
unsigned 無符号
Upcoming Events 近期活動
upgrade 更新
USB Bluetooth dongle USB 藍牙連接配接器
USB flash drive USB 盤
user 使用者
user datagram protocol 使用者資料報協定
user guide 使用者指南
user profile 使用者資訊
user-defined sequence of events 使用者自定義的事件序列
user-modifiable 使用者可修改的
utilities 實用工具
utilization 使用率|利用
utilization control 使用率控制
utlity 實用程式

V

 
validate 驗證
value
value chain 價值鍊
valued-channel matrix 數值信道矩陣
variable 變量
Vector Methodology 矢量評估法
vector network analyzer 矢量網絡分析儀
vector rotation 矢量旋轉
vector-based 基于矢量
vector-less analysis 無矢量分析
vendor 供應商
verification 驗證
verify 驗證
verify and validate 核實和驗證
version 版本
vertex loader 頂點加載器
vertex shader 頂點着色器
vertex storer 頂點存儲器
very long instruction word 超長指令字
via 過孔
victim 受幹擾對象
video 視訊
Video and Imaging Kit 視訊和影像套件
Video and Imaging Kit 視訊和影像套件
video capture 視訊采集
Video Codec Unit (VCU) 視訊編解碼單元 (VCU)
video combiner 視訊合成器
video editing 視訊編輯
video imaging 視訊成像
video on demand 視訊點播
video scaler 視訊轉換器
video Starter Kit 視訊入門套件
video-pipline|video pipeline|video-pipelining 視訊流水線
view 視圖
violation 違例
virtual cable 虛拟線纜
virtualization 虛拟化
Vitis accelerated kernel Vitis 加速核心
Vitis accelerated libraries Vitis 加速庫
Vitis AI compiler Vitis AI 編譯器
Vitis AI debugger Vitis AI 調試器
Vitis AI libraires Vitis AI 庫
Vitis AI model zoo Vitis AI 模型庫
Vitis AI optimizer Vitis AI 優化器
Vitis AI profiler Vitis AI 分析器
Vitis BLAS Library Vitis BLAS 庫
Vitis core development kit Vitis Core 開發套件
Vitis Database Library Vitis 資料庫庫
Vitis domain-specific development environment Vitis 特定領域開發環境
Vitis Financial Technology Library Vitis 金融技術庫
Vitis Genomics development kit Vitis 基因分析開發套件
Vitis Quantitative Finance Library Vitis 定量金融庫
Vitis unified software platform Vitis 統一軟體平台
Vitis Vision Library Vitis 視覺庫
Vivado tool Vivado 工具
voice video 語音視訊
voltage 電壓
voltage rail 電壓軌
voltage-controlled oscillator 壓控振蕩器
volume application 批量應用
VQ (Very Thin QFP ) 超薄四方扁平封裝

W

 
wafer lot 晶圓批次
wafer thinning 晶圓減薄
wake-on-LAN (WOL) 網絡喚醒 (WOL)
walking ones|walking 1s|walking 1's 周遊 1
wander 漂移
warn 警告
warning 警告
watchdog 看門狗
waveform 波形圖|波形
weak-keeper circuit 弱保持器電路
web link Web 連結
web page 網頁
web portal 門戶網站
web server 網絡伺服器
webcast 線上研讨會
Webtalk|webtalk|WebTalk WebTalk
weight 權重
Weight Average Simultaneous Switching Output(WASSO) 權重平均同步轉換輸出 (WASSO)
weighting 權重
What's New 最新消息|最新内容
white paper 白皮書
width 寬度
wiki page 維基頁
wild card 通配符
winding function 繞組函數
window 視窗
wired communication 有線通信
wired link 有線連結
wired network 有線網絡
wireless base station 無線基站
wireless communication 無線通信
wireless LAN / PAN 無線 LAN/PAN
wizard 向導
workflow 工作流程
workload 工作負載
workshop 研讨會
workspace 工作空間
workstation 工作站
Worst Hold Slack 最差保持時序裕量
Worst Negative Slack 最差負時序裕量
Worst Pulse Width Slack 最差脈沖寬度時序裕量
wrap 卷繞
wrapper 封裝|封裝器
wrapper 封裝檔案
write 寫入
write recovery 寫入恢複

X

 
XCITE digitally controlled I/O impedence XCITE 數控 I/O 阻抗
XFI electrical specification XFI 電氣接口規範

Y

 
yaw-rate sensing package 橫擺角速度感測

Z

 
ZBT SRAM Calculator ZBT SRAM 電腦
Zero Bus Turnaround 零總線轉換
zoom 縮放
zoom in 放大
zoom out 縮小