天天看點

Chisel Tutorial(一)——Chisel介紹

Chisel是由伯克利大學釋出的一種開源硬體建構語言,建立在Scala語言之上,是Scala特定領域語言的一個應用,具有高度參數化的生成器(highly parameterized generators),可以支援進階硬體設計。

其特點如下,部分特點找不到合适的中文表述,暫時沒有翻譯,哪位童靴有合适的翻譯可以及時說說啊。

  • Hardware construction language (not C to Gates):硬體建構語言
  • Embedded in the Scala programming language:内嵌于Scale程式設計語言
  • Algebraic construction and wiring
  • Abstract data types and interfaces:抽象的資料類型和接口
  • Bulk connections:端口的批量連接配接
  • Hierarchical + object oriented + functional construction:分層+面向對象+函數建構
  • Highly parameterizable using metaprogramming in Scala:通過使用Scala的元程式設計實作高度的參數化
  • Supports layering of domain specific languages
  • Sizeable standard library including floating-point units:具有包含浮點單元在内的、可以調整大小的标準庫
  • Multiple clock domains:支援多時鐘域
  • Generates high-speed C++-based cycle-accurate software simulator:能夠産生高速的、基于C++的周期精确軟體模拟器
  • Generates low-level Verilog designed to pass on to standard ASIC or FPGA tools:能夠産生Verilog設計,進而在标準的ASIC、FPGA工具中使用
  • Open source on github with modified BSD license:在github上開源,使用的是改進的BSD協定
  • Complete set of docs:完善的文檔
  • Growing community of adopters:不斷增長的社群

其中紅色的是覺得自己翻譯不好的,同學們踴躍建議。