天天看点

Quartus与Modelsim联合仿真

Quartus和modelsim联合仿真

一、实验目的

Quartus+modelsim的联合仿真

二、实验过程

1、打开quartus新建一个工程

2、在选择所保存的文件夹后并进行芯片选择Simulation中选择Modelsim保存完成

3、打开Verilog,将我们写的代码输入并保存在自己刚才保存的文件夹中

module test(

input wire [7:0] a,

input wire [7:0] b,

output reg [8:0] out

);

always @ (*)begin

out = a+b;

end

endmodule

Quartus与Modelsim联合仿真

4、选择processing中的start中的Start Text Bench Writer

并进行编译,找到自动生成的text bench文件

Quartus与Modelsim联合仿真

5、对a b进行赋值

a=8’d 3;

b=8’d 4;

#100_000;

a=8’d 13;

b=8’d 14;

#100_000;

a=8’d 23;

b=8’d 24;

#100_000;

$stop;

Quartus与Modelsim联合仿真

6、选择tool中的options中的EDA Tool Options,把modusilm的地址设置一下,将自动生成的text添加到text bench name和text level module in text bench 中

7、点击tools里面的Run Simulation Tool中的RLT Simulation进行联合仿真即可

Quartus与Modelsim联合仿真

三、实验视频

Quartus modelsim联合仿真

继续阅读