天天看点

基于FPGA的语音合成技术

1.问题描述:

基于FPGA的语音合成技术

    首先,按照课题要求,音乐的合成主要有几种频率,这里我们设置如下几组频率,在实际情况下,可以进行扩充。

Fc = 261.63; %C

Fd = 293.66; %D

Fe = 329.63; %E

Ff = 349.23; %F

Fg = 392;   %G

Fa = 440;   %A

Fb = 493.88; %B

在FPGA中,取整数,进行四舍五入的选择。

2.部分程序:

 这个模块如下所示:

基于FPGA的语音合成技术

3.仿真结论:

     这个模块的仿真效果如下所示:

基于FPGA的语音合成技术

    第二,正弦信号的模块设计,这个是核心模块,产生不同频率的正弦模块。

基于FPGA的语音合成技术

公式中的exp部分。

    进行音乐的合成:

基于FPGA的语音合成技术

   仿真效果如下所示:

基于FPGA的语音合成技术

上述就是加入衰减效果的音符。

    下面就通过连续的音符,产生一个音乐。

最后,根据合成的音符,组成连续的音乐。连续播放CDEFGAB。

具体步骤如下所示:

首先进行仿真,得到如下的仿真结果,即运行我们代码中的top.vwf文件,仿真运行,结果如下: