1、示例1
set UVM_DPI_HOME D:/modeltech64_10.4/uvm-1.1d/win64
if [file exists work] {
vdel -all
}
vlib work
vlog -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF hello_world.sv
vsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.hello_world_example
run 100
2、示例2
set UVM_HOME D:/modeltech64_10.4/verilog_src/uvm-1.1d
set WORK_HOME D:/modelsim_prj/uvm/uvm0
if [file exists work] {
vdel -all
}
vlog +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF $UVM_HOME/src/uvm_pkg.sv $WORK_HOME/dut.sv top_tb.sv
vsim -novopt -c -sv_lib D:/modeltech64_10.4/uvm-1.1d/win64/uvm_dpi work.top_tb