天天看點

windows 10 下modelsim 10.4仿真UVM

本來一直是在linux下用vcs/irun+verdi,uvm對ip進行相關的驗證操作的,本想着在自己電腦上裝套vmare+vcs/irun一套,折騰了好久還是沒成,算了轉投modelsim吧,期間有些小折騰, 也一并記錄下來

1 安裝modelsim 10.4

https://pan.baidu.com/s/1gnjfEFbZyTKQ9eC9gZLelg

提取碼:wpy3

本檔案工程執行個體可以去我的資源裡面下載下傳

這裡面注意的是,檔案夾内有crack目錄,需要把他們都複制到安裝modelsim目錄/win64下,運作,會生成license.txt目錄,需要建立系統環境變量

這塊可以随便搜搜網上的安裝教程,比較多,我就不詳細介紹了

2 添加sim.do檔案,該檔案已添加到壓縮包内

file delete -force work
set UVM_DPI_HOME E:/modeltech64_10.4/uvm-1.1d/win64
vlib work
vlog  -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF top_tb.sv
vlog  -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF dut.sv
vsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.top_tb +UVM_TESTNAME=my_case1
run -all
           

第一句話是删除本目錄下的work工作目錄

第二句是設定UVM路徑,安裝好的modelsim10.4已經包含了該路徑

第三句設定工作目錄位work

第四句和第五句是設定項目,以及設定uvm_testname,因為sequence裡面用的是default,這裡是用宏将其設定進去的,如果不添加此句,會出現錯誤

UVM_FATAL @ 0: reporter [NOCOMP] No components instantiated. You must either instantiate at least one component before calling run_test or use run_test to do so. To run a test using run_test, use +UVM_TESTNAME or supply the test name in the argument to run_test().
           

3 代碼的修改,可能有的人從别人的地方下的工程,

需要把 tb_top.sv裡面的run_test();改為run_test(“”);