天天看點

小晶片的好與壞小晶片應用程式和挑戰尋找标準接口設計問題制作小晶片結論

作者:衆壹雲

小型晶片模型繼續在市場上獲得牽引力,但在為該技術提供更廣泛的支援方面仍然存在一些挑戰。

AMD,英特爾,台積電,Marvell和其他公司已經開發或示範了使用小型晶片的裝置,這是開發進階設計的另一種方式。然而,此外,小晶片在行業中的采用受到生态系統問題,缺乏标準和其他因素的限制。正在努力解決這些問題 在幕後,幾家代工廠和OSAT已準備好幫助客戶使用小型晶片。

小型晶片的目标是通過将預先開發的晶片內建到IC封裝中來減少産品開發時間和成本。是以,晶片制造商可能在其庫中提供子產品化或小型晶片的菜單。小型晶片可以在不同的節點上具有不同的功能。客戶可以混合搭配小型晶片,并使用晶片到晶片互連方案連接配接它們。

這不是一個新概念。多年來,一些公司已經推出了類似晶片的小晶片設計,但該模型有充分的理由開始滾雪球。對于進階設計,業界通常開發片上系統(SoC),您可以在其中縮小每個節點的不同功能并将其封裝到單個晶片上。但是,這種方法在每個節點上都變得越來越複雜和昂貴。

雖然有些人将繼續沿着這條路走下去,但許多人正在尋找替代方案。開發系統級設計的另一種方法是在進階封裝中組裝複雜的晶片。小晶片是子產品化這種方法的一種方式。

"我們仍處于早期階段。英特爾和我們的競争對手的越來越多的産品将反映這種前進方向。每個主要代工廠都有一個技術路線圖,以提高2.5D和3D內建方法的互連密度,"英特爾工藝和産品內建總監Ramune Nagisetty說。"在接下來的幾年裡,我們将看到它擴充到2.5D和3D實作。我們将看到它擴充到邏輯和記憶體堆疊以及邏輯和邏輯堆疊。"

英特爾和其他公司擁有開發這些産品的技術,但許多公司并不具備所有部件。是以,他們需要找到技術并找到一種內建它們的方法,這帶來了一些挑戰。其中:

最終目标是在内部和/或從多個其他供應商那裡獲得良好的,可互操作的晶片,但該模型仍在進行中。

第三方晶片到晶片互連技術正在興起,但還不夠。

一些晶片到晶片互連解決方案缺乏設計支援。

Foundry和OSAT将在這裡發揮重要作用,但找到合适的IP和制造能力并不是那麼簡單。

人們正在努力克服所有這些挑戰,随着時間的推移,小型晶片模型将會擴充。它不會取代傳統的SoC,但沒有技術可以滿足所有需求,是以有多種架構的空間。許多人永遠不會開發小晶片。

<h1級"pgc-h-arrow-right-"資料軌道""13">小型晶片應用和挑戰</h1>

幾十年來,晶片制造商每18到24個月就會推出一種新的工藝技術。按照這個速度,供應商推出了基于最新工藝的新晶片,以更低的成本實作更高的半導體密度器件。

此公式從 16nm/14nm 節點開始。突然之間,IC設計和制造成本飙升,從那時起,完全擴充節點的周期從18個月延長到2.5年或更長時間。當然,并非所有晶片都需要進階節點。目前,并非同一晶片上的所有内容都能從縮放中受益。

這就是小晶片适合的地方。較大的晶片可以分解成更小的碎片,并根據需要混合和比對。與單個晶片相比,小型晶片的成本可能更低,産量更高。

小晶片不是封裝類型。它是打包體系結構的一部分。使用小型晶片,核心可以內建到現有的封裝類型中,例如2.5D / 3D,扇出或多晶片子產品(MCMS)。有些人可能會使用小晶片來開發全新的架構。

這完全取決于要求。"這是一種架構方法,"美聯航業務發展副總裁Walter Ng說。"它正在優化矽解決方案,以滿足其所需的任務。它還在優化經濟解決方案。所有這些都有性能考慮因素,無論是速度,熱量還是功率。它還具有成本因素,具體取決于您采取的方法。"

有不同的方法。例如,去年,英特爾推出了一個3D CPU平台,使用一種名為Foveros的小型晶片方法。它将一個10nm處理器核心和四個22nm處理器核心組合在一個封裝中。

小晶片的好與壞小晶片應用程式和挑戰尋找标準接口設計問題制作小晶片結論

圖 1:使用英特爾橋接和 Foveros 技術的 2.5D 和 3D 技術。來源: 英特爾

AMD,Marvell和其他公司也開發了看起來像小晶片的産品。通常,這些設計專為當今具有相同2.5D封裝技術的應用而設計,例如AI和其他資料密集型工作負載。"中介層上的邏輯/記憶體可能是當今最常見的實作,"英特爾的Nagisetty說。"在需要大量記憶體的高性能産品中,你會看到一種基于晶片的小型方法。

但小晶片并不能主導這個領域。"裝置的類型和數量正在增加,"Nagisetty說。"我不認為所有産品都會采用基于晶片的小方法。在某些情況下,單個晶片将是最便宜的選擇。但對于高性能産品,可以肯定地說,小晶片方法将成為常态,如果還沒有的話。"

英特爾和其他公司已準備好開發這些設計。通常,開發基于晶片的小型産品需要良好的晶片,EDA工具,晶片到晶片互連技術和制造政策。

"如果你看看今天誰在做基于晶片的設計,他們通常是垂直整合的公司。他們擁有所有内部元件,"ASE銷售和業務發展進階總監Eelco Bergman說。"如果你要将幾個矽晶圓縫合在一起,你需要很多關于每個晶片、它們的架構以及這些晶片上的實體和邏輯接口的細節。您需要擁有EDA工具,允許将不同晶片的協作設計捆綁在一起。"

并非所有公司都有内部元件。有些作品已經推出,而另一些作品尚未準備就緒。挑戰在于找到必要的部件并進行整合,這需要時間和資源。

"小晶片似乎是目前最熱門的話題。主要原因是邊緣所需的應用程式和架構的多樣性,"Veeco首席營銷官Scott Kroeger說。"如果做得好,小晶片可以幫助解決這個問題。還有很多工作要做。問題是您如何開始将所有這些不同類型的裝置合并為一個。"

那麼從哪裡開始呢?對于許多人來說,設計服務公司,代工廠和OSAT是可能的起點。一些代工廠不僅為其他人制造晶片,而且還提供各種包裝服務。OSAT提供打包/組裝服務。

有些人已經在為小晶片的時代做準備。例如,台積電正在開發一種稱為內建晶片系統(SOIC)的技術,使客戶能夠使用小型晶片來實作類似3D的設計。台積電也有自己的晶片到晶片互連技術,稱為Lipincon。

其他代工廠和OSAT提供各種先進的封裝類型,但它們尚未開發自己的晶片到晶片互連解決方案。相反,代工廠和OSAT正在與開發第三方互連解決方案的組織合作。這仍然是一項持續不斷的工作。

互連至關重要。核心到核心互連将封裝中的一個核心連接配接到另一個核心。每個晶片都由一個帶有實體接口的 IP 塊組成。具有公共接口的晶片可以通過短距離導線與另一個晶片進行通信。

許多人已經開發了具有專有接口的互連,這意味着它們用于公司自己的裝置。但為了擴大小晶片的采用,業界需要有一個開放的接口來互聯互通,這樣不同的晶片才能互相通信。

"如果該行業希望建立一個支援基于晶片的小型內建的生态系統,那将意味着不同的公司将不得不開始互相共享晶片IP,"ASE的Bergman說。"這些是傳統上沒有做過的事情。這是一個障礙。有一種方法可以克服這一點。這些器件不是共享所有晶片IP,而是實作內建的标準接口。"

為此,該行業正在向DRAM業務學習。DRAM制造商使用标準接口DDR來連接配接系統中的晶片。"我不需要知道儲存設備設計本身的細節。我隻需要知道界面是什麼樣子的,以及我需要如何連接配接到我的晶片,"伯格曼說。"當你開始談論小晶片時,情況也是如此。這個想法是為了減少IP共享的障礙,他說:"讓我們轉向一些通用的接口,這樣我就知道我的晶片和晶片的邊緣需要如何以子產品化的樂高方式點選在一起。"

< >尋找< h1 類""pgc-h-right-arrow"data-track""34" 的标準接口</h1>

好消息是,公司群組織正在開發開放式晶片到晶片互連/接口技術。這些技術包括AIB,BoW,OpenHBI和XRS。每個都處于不同的發展階段。沒有一種技術可以滿足所有需求,是以存在多種場景的空間。

由英特爾開發的進階接口總線 (AIB) 是一種在小型晶片之間傳輸資料的晶片到晶片接口解決方案。有兩個版本。AIB Base用于"更輕的實作",而AIB Plus則用于更高的速度。

"AIB沒有指定最大時鐘速率,最小值非常低(50MHz)。AIB在高帶寬方面大放異彩,典型的資料速率為每條線路每秒2G,"英特爾研究科學家David Kehlet在一份白皮書中表示。英特爾還有一個小型商業代工廠和一個重要的内部封裝部門。

與此同時,光網際網路論壇正在開發一種名為CEI-112G-XSR的技術。XSR 支援每通道 112Gbps 的晶片到晶片連接配接,适用于超短程和超短距離應用。XSR 連接配接 MCM 中的小型晶片和光學引擎。應用包括人工智能和網絡。XSR标準的最終版本預計将于今年年底釋出。

在另一項工作中,開放域特定架構(ODSA)團隊正在定義另外兩個晶片到晶片接口,Bunch of Wires(BoW)和OpenHBI。BoW 支援傳統和進階軟體包。"最初的目标是提出一個通用的晶片到晶片接口,可以在各種封裝解決方案中工作,"漫威網絡/汽車首席技術官Ramin Farjad在最近的一次演講中說。"

仍處于開發階段,BoW有兩種形式,終止和未過期。BoW 的晶片邊緣吞吐量為 0.1Tbps/mm(簡單接口)或 1Tbps/mm(進階接口),電源效率&lt; 1.0pJ/位。

同時,openHBI 是一種源自 Xilinx 的高帶寬存儲器 (HBM) 的晶片對晶片互連/接口技術。HBM 本身用于高端封裝。在 HBM 中,DRAM 晶片堆疊在一起,以實作系統中更多的記憶體帶寬。實體層接口在 DRAM 堆棧和封裝中的 SoC 之間路由信号。該接口基于 JEDEC 标準。

OpenHBI也是一個類似的概念。不同之處在于,該接口提供了從封裝中的一個小晶片到另一個小晶片的連結。它支援中介層、扇出和間隔較細的有機基闆。

"我們正試圖利用經過驗證的 JEDEC HBM 标準,"Celings 首席架構師 Kenneth Ma 在最近的一次演講中說。"我們正試圖利用現有和經過驗證的PHY技術。我們可以進一步優化它們。"

OpenHBI 規範具有 4Gbps 資料速率、10ns 延遲和 0.7-1.0pJ/位電源效率。總帶寬為 4,096Gbps。該草案定于今年年底進行。下一個版本名為OpenHBI3,也正在開發中。它需要6.4Gbps和10Gbps的資料速率,延遲小于3.6ns。

最終,客戶将有各種晶片到晶片互連/接口選項可供選擇,但這并不能解決所有問題。"來自不同公司的小型晶片的互操作性仍處于起步階段。互操作性存在真正的挑戰。這就是為什麼你還沒有看到很多可互操作的晶片,"英特爾的Nagisetty說。"另一方面,這是一種商業模式。當您從初創企業獲得小型籌碼時,您如何管理風險?例如,如果這些晶片在零件打包或現場後可能失效,那麼風險管理的商業模式是什麼?有很多複雜性和供應鍊管理。它需要供應鍊中一個全新的複雜性水準。"

鑒于這些問題,一些客戶可能會認為,從長遠來看,小晶片不值得麻煩。相反,客戶最終可能會使用OSAT或代工廠來開發更傳統的進階軟體包。Amkor 研發副總裁 Ron Huemoeller 表示:"包裝行業的許多人最終可能會追随我們的道路,因為重新整合包裝更容易。

"晶片到晶片總線類型通常由我們的客戶定義,而不是由 Amkor 或 OSAT 定義。AIB和BeamLine(BoW)等可用接口是不斷努力為晶片到晶片接口提供通用規範的示例,有助于完全支援小型晶片市場。選擇是使用開放标準還是保留專有接口始終是客戶的選擇。我們現在從我們的客戶群中看到了這兩種方法的混合,"Huemoeller說。"值得注意的是,晶片到晶片接口跨越兩大類,從單端寬總線,如HBM資料總線,到實體線路很少但線路速度更高的串行接口。在所有情況下,要考慮的性能權衡是延遲、功耗和影響封裝選擇的實體線路數量。從封裝的角度來看,總線類型和實體線路密度将決定選擇哪種封裝解決方案。通常,(1) 具有較高線路密度的子產品類型(基闆上為 2.5D 或高密度扇出)或 (2) 經典高密度封裝基闆上的 MCM。"

<h1級"pgc-h-arrow-right-right">設計問題</h1>

為了解決其中的許多問題,ODSA正在開發一個名為Chiplet Design Exchange(CDX)的小型晶片市場。"CDX旨在為安全資訊交換建立一種開放格式,以保護機密性。它還将有一個參考工作流程來示範原型資訊流,"OSDA的子提案負責人Bapi Vinnakota說。"CDX廣泛涉及廣泛的公司,EDA供應商,OSAT,設計服務公司,小型晶片供應商和分銷商。CDX研究小型晶片的功率估計和測試。它正在建構一個小型晶片目錄,并将開發一個封裝原型。"

CDX的時間尚不清楚。同時,客戶需要EDA工具來設計支援小晶片的産品。這些工具可用于先進封裝和小型晶片技術。但是,也存在一些差距。

對于小型晶片,它需要一種協作設計方法。"轉向基于晶片的分解設計方法需要IC,封裝和電路闆領域的功能,"Cadence産品管理總監John Park說。"向基于晶片的小型方法的過渡為晶片設計人員和封裝設計人員帶來了新的挑戰。對于封裝設計人員來說,對矽襯底進行布局和驗證帶來了新的挑戰。布局和原理圖以及智能金屬平衡等要求對于IC設計人員來說很常見,但對于許多封裝設計人員來說,這些都是新概念。"

幸運的是,EDA供應商提供了跨平台的工具。即便如此,也存在挑戰。"例如,當從設計單個裝置轉向設計和/或與多個裝置內建時,定義和管理頂級連接配接的要求變得至關重要,"Park說。"在3D堆棧中設計多個小晶片時,測試是另一個重大變化的領域。例如,如何測試堆棧頂部可能與外界沒有任何聯系的小晶片?"

還有其他問題。"為了獲得良好的規模經濟,您希望小晶片能夠在許多不同的封裝中輕松重用,"Siemens Business Mentor産品管理總監John Ferguson說。"這需要一些嚴格的文檔記錄并遵守商定的标準,無論是整個行業,流程範圍還是公司範圍。沒有它,每個設計都将繼續是一個耗時,繁瑣且昂貴的定制項目。"

但是,也存在一些差距。例如,對 ODSA 的 BoW 和 OpenHBI 接口幾乎沒有設計支援。作為回應,ODSA正在開發參考設計和工作流程。

為ODSA的工作開發設計支援似乎不是問題。"實體驗證似乎沒有任何重大困難,甚至沒有增強工具,"弗格森說。"随着要求和标準的建立,這隻是将這些作為規則限制正确實施到典型的DRC或LVS甲闆中的問題。

< >"pgc-h-right-arrow"資料軌道>制造小晶片。56 英寸</h1>

同時,在開發設計後,晶片然後在晶圓廠的晶圓上進行加工。然後晶圓經過測試步驟。測試單元由自動測試裝置(ATE)、探測器和具有微晶設計定制圖案的微引腳探針卡組成。

探測器取出晶圓并将其放在托盤上。它将探針卡與晶片上的引線焊盤或微小的微凸塊對齊。ATE在晶片上執行電氣測試。

"在測試和檢測小型晶片方面存在重大的技術和成本挑戰,"FormFactor進階副總裁Amy Leong說。"一個新的技術挑戰是顯著減少封裝凸間距和尺寸。微凸起可以小至25米或更小。此外,微凸圖案的密度是等效單片的2至4倍。是以,在300 mm晶圓上檢測如此小的特征所需的瞄準精度相當于将針頭定位在足球場上。"

測試每個微凸點通常成本高昂且不切實際。"成本挑戰是如何智能地執行KGD,并以合理的成本提供足夠好的測試覆寫範圍。測試 設計、 内置 自 檢 或 測試 過程 優化 是 實作 高 成本效益 測試 政策 的重要 工具, " Leong 說。

最後,将晶片切成碎片。在封裝中,磁芯通過微凸塊堆疊和連接配接。微凸塊在不同晶片之間提供小而快速的電氣連接配接。

使用晶圓鍵合機鍵合晶片是一個緩慢的過程,但有一些限制。最先進的微型凸起的間距為40米。使用今天的粘接機,工業可以将凸間距調整到10μm或接近20m。

那麼,這個行業需要一種新技術,銅的混合粘接。為此,晶片或晶圓使用介電鍵合,然後是金屬對金屬連接配接。混合鍵合對于晶片堆疊來說是一個挑戰,這就是為什麼它仍處于研發階段的原因。

還有一個問題。在多晶片封裝中,不良晶片可能會使整個封裝失效。CyberOptics工程經理John Hoffman表示:"小晶片方法或各種異構內建方法所涉及的複雜性推動了對高吞吐量和長期可靠性的有效檢查的需求。

<h1類"pgc-h-arrow right-right"資料軌道">的結論</h1>

顯然,小晶片模型帶來了一些挑戰。盡管如此,仍然需要這項技術。随着晶片的縮放,晶片将繼續存在。但很少有公司能夠在進階節點上負擔得起它們。

是以,該行業需要不同的選擇,而這些選擇有時是傳統解決方案無法解決的。小型晶片提供了一系列可能性和潛在的解決方案。

要檢視原文,請點選"小籌碼的好與壞 - 衆雲官方網站"